-
Notifications
You must be signed in to change notification settings - Fork 1
/
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect.v
728 lines (728 loc) · 48.4 KB
/
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect.v
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
`timescale 1ns/1ps
`default_nettype none
// PLEASE READ THIS, IT MAY SAVE YOU SOME TIME AND MONEY, THANK YOU!
// * This file was generated by Quokka FPGA Toolkit.
// * Generated code is your property, do whatever you want with it
// * Place custom code between [BEGIN USER ***] and [END USER ***].
// * CAUTION: All code outside of [USER] scope is subject to regeneration.
// * Bad things happen sometimes in developer's life,
// it is recommended to use source control management software (e.g. git, bzr etc) to keep your custom code safe'n'sound.
// * Internal structure of code is subject to change.
// You can use some of signals in custom code, but most likely they will not exist in future (e.g. will get shorter or gone completely)
// * Please send your feedback, comments, improvement ideas etc. to [email protected]
// * Visit https://github.com/EvgenyMuryshkin/QuokkaEvaluation to access latest version of playground
//
// DISCLAIMER:
// Code comes AS-IS, it is your responsibility to make sure it is working as expected
// no responsibility will be taken for any loss or damage caused by use of Quokka toolkit.
//
// System configuration name is AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect, clock frequency is 1Hz, Embedded
// FSM summary
// -- Packages
module AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect
(
// [BEGIN USER PORTS]
// [END USER PORTS]
input wire BoardSignals_Clock,
input wire BoardSignals_Reset,
input wire BoardSignals_Running,
input wire BoardSignals_Starting,
input wire BoardSignals_Started,
input wire [83:0] iLeft0,
input wire [83:0] iLeft1,
input wire [52:0] iRight0,
input wire [52:0] iRight1,
input wire [52:0] iRight2,
input wire [52:0] iRight3,
input wire [52:0] iRight4,
input wire [52:0] iRight5,
input wire [52:0] iRight6,
input wire [52:0] iRight7,
input wire [52:0] iRight8,
input wire [52:0] iRight9,
output wire [83:0] M2S0,
output wire [83:0] M2S1,
output wire [83:0] M2S2,
output wire [83:0] M2S3,
output wire [83:0] M2S4,
output wire [83:0] M2S5,
output wire [83:0] M2S6,
output wire [83:0] M2S7,
output wire [83:0] M2S8,
output wire [83:0] M2S9,
output wire [52:0] S2M0,
output wire [52:0] S2M1
);
// [BEGIN USER SIGNALS]
// [END USER SIGNALS]
localparam HiSignal = 1'b1;
localparam LoSignal = 1'b0;
wire Zero = 1'b0;
wire One = 1'b1;
wire true = 1'b1;
wire false = 1'b0;
wire signed [2: 0] leftCount = 3'b010;
wire signed [4: 0] rightCount = 5'b01010;
wire InterconnectModule_L114F13L125T14_0_leftIndex = 1'b0;
wire InterconnectModule_L114F13L125T14_1_leftIndex = 1'b1;
wire AXI4ReadInteconnectModule_L22F13L28T14_0_i = 1'b0;
wire AXI4ReadInteconnectModule_L22F13L28T14_1_i = 1'b1;
wire InterconnectModule_L153F47T52_Expr = 1'b0;
wire InterconnectModule_L154F48T53_Expr = 1'b0;
wire InterconnectModule_L160F43T47_Expr = 1'b1;
wire InterconnectModule_L163F44T48_Expr = 1'b1;
reg [0: 0] NextState_leftAddr;
reg NextState_leftAddrValid;
reg [3: 0] NextState_rightAddr;
reg NextState_rightAddrValid;
wire currentTXEnd;
wire [7: 0] muxLeftData_AR_ARID;
wire [31: 0] muxLeftData_AR_ARADDR;
wire [7: 0] muxLeftData_AR_ARLEN;
wire [2: 0] muxLeftData_AR_ARSIZE;
wire [1: 0] muxLeftData_AR_ARBURST;
wire [1: 0] muxLeftData_AR_ARLOCK;
wire [3: 0] muxLeftData_AR_ARCACHE;
wire [2: 0] muxLeftData_AR_ARPROT;
wire [3: 0] muxLeftData_AR_ARQOS;
wire [7: 0] muxLeftData_AR_ARREGION;
wire [7: 0] muxLeftData_AR_ARUSER;
wire muxLeftData_AR_ARVALID;
wire muxLeftData_R_RREADY;
wire muxRightData_AR_ARREADY;
wire [7: 0] muxRightData_R_RID;
wire [1: 0] muxRightData_R_RRESP;
wire muxRightData_R_RLAST;
wire [7: 0] muxRightData_R_RUSER;
wire muxRightData_R_RVALID;
wire [3: 0] rightAddr;
wire [3: 0] axiRightAddr;
wire rangeDetectorActive;
wire [0: 0] DuplexMux_iLeftAddr;
wire DuplexMux_iLeftAddrValid;
wire [3: 0] DuplexMux_iRightAddr;
wire DuplexMux_iRightAddrValid;
wire [83: 0] DuplexMux_oMuxLeftData;
wire [52: 0] DuplexMux_oMuxRightData;
wire Encoder_HasActive;
wire [0: 0] Encoder_MSBIndex;
wire [1: 0] Encoder_MSBValue;
wire TransactionDetectors0_iActive;
wire TransactionDetectors0_iRestart;
wire TransactionDetectors0_iTXBegin;
wire TransactionDetectors0_iTXEnd;
wire TransactionDetectors0_oTransaction;
wire TransactionDetectors0_oTXBegin;
wire TransactionDetectors0_oWaitForRestart;
wire TransactionDetectors1_iActive;
wire TransactionDetectors1_iRestart;
wire TransactionDetectors1_iTXBegin;
wire TransactionDetectors1_iTXEnd;
wire TransactionDetectors1_oTransaction;
wire TransactionDetectors1_oTXBegin;
wire TransactionDetectors1_oWaitForRestart;
wire [31: 0] rangeDetectorArray0_iAddress;
wire rangeDetectorArray0_oActive;
wire [3: 0] rangeDetectorArray0_oIndex;
wire [31: 0] rangeDetectorArray1_iAddress;
wire rangeDetectorArray1_oActive;
wire [3: 0] rangeDetectorArray1_oIndex;
wire [3: 0] AXI4ReadInteconnectModule_L32F37T75_Index;
wire AXI4ReadInteconnectModule_L35F46T88_Index;
wire [3: 0] InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index;
wire [3: 0] InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index;
wire [1: 0] InterconnectModule_L128F17L131T18_Object;
wire [704: 0] InterconnectModule_L135F17L143T18_Object;
wire [31: 0] AXI4ReadInteconnectModule_L22F13L28T14_0_AXI4ReadInteconnectModule_L24F54L27T18_Object;
wire [31: 0] AXI4ReadInteconnectModule_L22F13L28T14_1_AXI4ReadInteconnectModule_L24F54L27T18_Object;
wire [83: 0] DuplexMux_iLeft0_DuplexMux_iLeft_HardLink;
wire [83: 0] DuplexMux_iLeft1_DuplexMux_iLeft_HardLink;
wire [0: 0] DuplexMux_iLeftAddr_DuplexMux_iLeftAddr_HardLink;
wire DuplexMux_iLeftAddrValid_DuplexMux_iLeftAddrValid_HardLink;
wire [52: 0] DuplexMux_iRight0_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight1_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight2_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight3_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight4_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight5_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight6_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight7_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight8_DuplexMux_iRight_HardLink;
wire [52: 0] DuplexMux_iRight9_DuplexMux_iRight_HardLink;
wire [3: 0] DuplexMux_iRightAddr_DuplexMux_iRightAddr_HardLink;
wire DuplexMux_iRightAddrValid_DuplexMux_iRightAddrValid_HardLink;
wire [83: 0] DuplexMux_oLeft0_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft1_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft2_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft3_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft4_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft5_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft6_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft7_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft8_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oLeft9_DuplexMux_oLeft_HardLink;
wire [83: 0] DuplexMux_oMuxLeftData_DuplexMux_oMuxLeftData_HardLink;
wire [52: 0] DuplexMux_oMuxRightData_DuplexMux_oMuxRightData_HardLink;
wire [52: 0] DuplexMux_oRight0_DuplexMux_oRight_HardLink;
wire [52: 0] DuplexMux_oRight1_DuplexMux_oRight_HardLink;
wire Encoder_iValues0_Encoder_iValues_HardLink;
wire Encoder_iValues1_Encoder_iValues_HardLink;
wire Encoder_HasActive_Encoder_HasActive_HardLink;
wire [0: 0] Encoder_MSBIndex_Encoder_MSBIndex_HardLink;
wire [1: 0] Encoder_MSBValue_Encoder_MSBValue_HardLink;
wire TransactionDetectors0_iActive_TransactionDetectors0_iActive_HardLink;
wire TransactionDetectors0_iRestart_TransactionDetectors0_iRestart_HardLink;
wire TransactionDetectors0_iTXBegin_TransactionDetectors0_iTXBegin_HardLink;
wire TransactionDetectors0_iTXEnd_TransactionDetectors0_iTXEnd_HardLink;
wire TransactionDetectors0_oTransaction_TransactionDetectors0_oTransaction_HardLink;
wire TransactionDetectors0_oTXBegin_TransactionDetectors0_oTXBegin_HardLink;
wire TransactionDetectors0_oWaitForRestart_TransactionDetectors0_oWaitForRestart_HardLink;
wire TransactionDetectors1_iActive_TransactionDetectors1_iActive_HardLink;
wire TransactionDetectors1_iRestart_TransactionDetectors1_iRestart_HardLink;
wire TransactionDetectors1_iTXBegin_TransactionDetectors1_iTXBegin_HardLink;
wire TransactionDetectors1_iTXEnd_TransactionDetectors1_iTXEnd_HardLink;
wire TransactionDetectors1_oTransaction_TransactionDetectors1_oTransaction_HardLink;
wire TransactionDetectors1_oTXBegin_TransactionDetectors1_oTXBegin_HardLink;
wire TransactionDetectors1_oWaitForRestart_TransactionDetectors1_oWaitForRestart_HardLink;
wire [31: 0] rangeDetectorArray0_iAddress_rangeDetectorArray0_iAddress_HardLink;
wire rangeDetectorArray0_oActive_rangeDetectorArray0_oActive_HardLink;
wire [3: 0] rangeDetectorArray0_oIndex_rangeDetectorArray0_oIndex_HardLink;
wire [31: 0] rangeDetectorArray1_iAddress_rangeDetectorArray1_iAddress_HardLink;
wire rangeDetectorArray1_oActive_rangeDetectorArray1_oActive_HardLink;
wire [3: 0] rangeDetectorArray1_oIndex_rangeDetectorArray1_oIndex_HardLink;
reg [0: 0] State_leftAddr = 1'b0;
wire [0: 0] State_leftAddrDefault = 1'b0;
reg State_leftAddrValid = 1'b0;
wire State_leftAddrValidDefault = 1'b0;
reg [3: 0] State_rightAddr = 4'b0000;
wire [3: 0] State_rightAddrDefault = 4'b0000;
reg State_rightAddrValid = 1'b0;
wire State_rightAddrValidDefault = 1'b0;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_1;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_1;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
wire InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr;
wire InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_1;
wire InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_2;
wire InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr;
wire InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_1;
wire InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_2;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_1;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_2;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr_1;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_1;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_2;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr_1;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
wire InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_Expr;
wire signed [1: 0] InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprLhs;
wire signed [1: 0] InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprRhs;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_Expr;
wire signed [1: 0] InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprLhs;
wire signed [1: 0] InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprRhs;
wire InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr;
wire signed [1: 0] InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs;
wire signed [1: 0] InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_Expr;
wire signed [1: 0] InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprLhs;
wire signed [1: 0] InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprRhs;
wire InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr;
wire signed [1: 0] InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs;
wire signed [1: 0] InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs;
wire [83 : 0] Inputs_iLeft [0 : 1];
wire [52 : 0] Inputs_iRight [0 : 9];
wire ActiveTransactions [0 : 1];
wire [83 : 0] muxLeft [0 : 9];
wire [52 : 0] muxRight [0 : 1];
wire [7 : 0] muxRightData_R_RDATA [0 : 3];
wire Transactions [0 : 1];
wire TXBegin [0 : 1];
wire WaitForRestarts [0 : 1];
wire rangeDetectorActiveFlags [0 : 1];
wire [3 : 0] rangeDetectorIndexes [0 : 1];
wire [83 : 0] DuplexMux_iLeft [0 : 1];
wire [52 : 0] DuplexMux_iRight [0 : 9];
wire [83 : 0] DuplexMux_oLeft [0 : 9];
wire [52 : 0] DuplexMux_oRight [0 : 1];
wire Encoder_iValues [0 : 1];
wire InterconnectModule_L80F47T105_Enumerable [0 : 1];
wire InterconnectModule_L82F41T99_Enumerable [0 : 1];
wire InterconnectModule_L83F36T108_Enumerable [0 : 1];
wire InterconnectModule_L81F44T105_Enumerable [0 : 1];
wire AXI4ReadInteconnectModule_L34F53T104_Enumerable [0 : 1];
wire [3 : 0] AXI4ReadInteconnectModule_L31F47T97_Enumerable [0 : 1];
always @ (posedge BoardSignals_Clock)
begin
if ((BoardSignals_Reset == 1))
begin
State_leftAddr <= State_leftAddrDefault;
State_leftAddrValid <= State_leftAddrValidDefault;
State_rightAddr <= State_rightAddrDefault;
State_rightAddrValid <= State_rightAddrValidDefault;
end
else
begin
State_leftAddr <= NextState_leftAddr;
State_leftAddrValid <= NextState_leftAddrValid;
State_rightAddr <= NextState_rightAddr;
State_rightAddrValid <= NextState_rightAddrValid;
end
end
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_Expr = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprLhs == InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprRhs ? 1'b1 : 1'b0;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprLhs == InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprRhs ? 1'b1 : 1'b0;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs == InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs ? 1'b1 : 1'b0;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprLhs == InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprRhs ? 1'b1 : 1'b0;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs == InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs ? 1'b1 : 1'b0;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_1 & InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_1 & InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
assign InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr = InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_1 | InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_2;
assign InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr = InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_1 | InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_2;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_1 & InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_2;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr = ~InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr_1;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1 & InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1 & InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1 & InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_1 & InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_2;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr = ~InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr_1;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1 & InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1 & InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1 & InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2;
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_DuplexMux
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_DuplexMux
(
// [BEGIN USER MAP FOR DuplexMux]
// [END USER MAP FOR DuplexMux]
.iLeft0 (DuplexMux_iLeft0_DuplexMux_iLeft_HardLink),
.iLeft1 (DuplexMux_iLeft1_DuplexMux_iLeft_HardLink),
.iLeftAddr (DuplexMux_iLeftAddr_DuplexMux_iLeftAddr_HardLink),
.iLeftAddrValid (DuplexMux_iLeftAddrValid_DuplexMux_iLeftAddrValid_HardLink),
.iRight0 (DuplexMux_iRight0_DuplexMux_iRight_HardLink),
.iRight1 (DuplexMux_iRight1_DuplexMux_iRight_HardLink),
.iRight2 (DuplexMux_iRight2_DuplexMux_iRight_HardLink),
.iRight3 (DuplexMux_iRight3_DuplexMux_iRight_HardLink),
.iRight4 (DuplexMux_iRight4_DuplexMux_iRight_HardLink),
.iRight5 (DuplexMux_iRight5_DuplexMux_iRight_HardLink),
.iRight6 (DuplexMux_iRight6_DuplexMux_iRight_HardLink),
.iRight7 (DuplexMux_iRight7_DuplexMux_iRight_HardLink),
.iRight8 (DuplexMux_iRight8_DuplexMux_iRight_HardLink),
.iRight9 (DuplexMux_iRight9_DuplexMux_iRight_HardLink),
.iRightAddr (DuplexMux_iRightAddr_DuplexMux_iRightAddr_HardLink),
.iRightAddrValid (DuplexMux_iRightAddrValid_DuplexMux_iRightAddrValid_HardLink),
.oLeft0 (DuplexMux_oLeft0_DuplexMux_oLeft_HardLink),
.oLeft1 (DuplexMux_oLeft1_DuplexMux_oLeft_HardLink),
.oLeft2 (DuplexMux_oLeft2_DuplexMux_oLeft_HardLink),
.oLeft3 (DuplexMux_oLeft3_DuplexMux_oLeft_HardLink),
.oLeft4 (DuplexMux_oLeft4_DuplexMux_oLeft_HardLink),
.oLeft5 (DuplexMux_oLeft5_DuplexMux_oLeft_HardLink),
.oLeft6 (DuplexMux_oLeft6_DuplexMux_oLeft_HardLink),
.oLeft7 (DuplexMux_oLeft7_DuplexMux_oLeft_HardLink),
.oLeft8 (DuplexMux_oLeft8_DuplexMux_oLeft_HardLink),
.oLeft9 (DuplexMux_oLeft9_DuplexMux_oLeft_HardLink),
.oMuxLeftData (DuplexMux_oMuxLeftData_DuplexMux_oMuxLeftData_HardLink),
.oMuxRightData (DuplexMux_oMuxRightData_DuplexMux_oMuxRightData_HardLink),
.oRight0 (DuplexMux_oRight0_DuplexMux_oRight_HardLink),
.oRight1 (DuplexMux_oRight1_DuplexMux_oRight_HardLink)
);
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_Encoder
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_Encoder
(
// [BEGIN USER MAP FOR Encoder]
// [END USER MAP FOR Encoder]
.iValues0 (Encoder_iValues0_Encoder_iValues_HardLink),
.iValues1 (Encoder_iValues1_Encoder_iValues_HardLink),
.HasActive (Encoder_HasActive_Encoder_HasActive_HardLink),
.MSBIndex (Encoder_MSBIndex_Encoder_MSBIndex_HardLink),
.MSBValue (Encoder_MSBValue_Encoder_MSBValue_HardLink)
);
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_TransactionDetectors0
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_TransactionDetectors0
(
// [BEGIN USER MAP FOR TransactionDetectors0]
// [END USER MAP FOR TransactionDetectors0]
.BoardSignals_Clock (BoardSignals_Clock),
.BoardSignals_Reset (BoardSignals_Reset),
.BoardSignals_Running (BoardSignals_Running),
.BoardSignals_Starting (BoardSignals_Starting),
.BoardSignals_Started (BoardSignals_Started),
.iActive (TransactionDetectors0_iActive_TransactionDetectors0_iActive_HardLink),
.iRestart (TransactionDetectors0_iRestart_TransactionDetectors0_iRestart_HardLink),
.iTXBegin (TransactionDetectors0_iTXBegin_TransactionDetectors0_iTXBegin_HardLink),
.iTXEnd (TransactionDetectors0_iTXEnd_TransactionDetectors0_iTXEnd_HardLink),
.oTransaction (TransactionDetectors0_oTransaction_TransactionDetectors0_oTransaction_HardLink),
.oTXBegin (TransactionDetectors0_oTXBegin_TransactionDetectors0_oTXBegin_HardLink),
.oWaitForRestart (TransactionDetectors0_oWaitForRestart_TransactionDetectors0_oWaitForRestart_HardLink)
);
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_TransactionDetectors1
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_TransactionDetectors1
(
// [BEGIN USER MAP FOR TransactionDetectors1]
// [END USER MAP FOR TransactionDetectors1]
.BoardSignals_Clock (BoardSignals_Clock),
.BoardSignals_Reset (BoardSignals_Reset),
.BoardSignals_Running (BoardSignals_Running),
.BoardSignals_Starting (BoardSignals_Starting),
.BoardSignals_Started (BoardSignals_Started),
.iActive (TransactionDetectors1_iActive_TransactionDetectors1_iActive_HardLink),
.iRestart (TransactionDetectors1_iRestart_TransactionDetectors1_iRestart_HardLink),
.iTXBegin (TransactionDetectors1_iTXBegin_TransactionDetectors1_iTXBegin_HardLink),
.iTXEnd (TransactionDetectors1_iTXEnd_TransactionDetectors1_iTXEnd_HardLink),
.oTransaction (TransactionDetectors1_oTransaction_TransactionDetectors1_oTransaction_HardLink),
.oTXBegin (TransactionDetectors1_oTXBegin_TransactionDetectors1_oTXBegin_HardLink),
.oWaitForRestart (TransactionDetectors1_oWaitForRestart_TransactionDetectors1_oWaitForRestart_HardLink)
);
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_rangeDetectorArray0
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_rangeDetectorArray0
(
// [BEGIN USER MAP FOR rangeDetectorArray0]
// [END USER MAP FOR rangeDetectorArray0]
.iAddress (rangeDetectorArray0_iAddress_rangeDetectorArray0_iAddress_HardLink),
.oActive (rangeDetectorArray0_oActive_rangeDetectorArray0_oActive_HardLink),
.oIndex (rangeDetectorArray0_oIndex_rangeDetectorArray0_oIndex_HardLink)
);
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_rangeDetectorArray1
AXISoCQuadCoreModule_TopLevel_ioInterconnect_readInterconnect_rangeDetectorArray1
(
// [BEGIN USER MAP FOR rangeDetectorArray1]
// [END USER MAP FOR rangeDetectorArray1]
.iAddress (rangeDetectorArray1_iAddress_rangeDetectorArray1_iAddress_HardLink),
.oActive (rangeDetectorArray1_oActive_rangeDetectorArray1_oActive_HardLink),
.oIndex (rangeDetectorArray1_oIndex_rangeDetectorArray1_oIndex_HardLink)
);
always @ (*)
begin
NextState_leftAddr = State_leftAddr;
NextState_leftAddrValid = State_leftAddrValid;
NextState_rightAddr = State_rightAddr;
NextState_rightAddrValid = State_rightAddrValid;
if ((State_rightAddrValid == 1))
begin
if ((currentTXEnd == 1))
begin
NextState_leftAddrValid = InterconnectModule_L153F47T52_Expr;
NextState_rightAddrValid = InterconnectModule_L154F48T53_Expr;
end
end
else if ((Encoder_HasActive == 1))
begin
NextState_leftAddr = Encoder_MSBIndex;
NextState_leftAddrValid = InterconnectModule_L160F43T47_Expr;
NextState_rightAddr = rightAddr;
NextState_rightAddrValid = InterconnectModule_L163F44T48_Expr;
end
end
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprLhs = {
1'b0,
State_leftAddr
}
;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_ExprRhs = {
1'b0,
State_leftAddr
}
;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprLhs = {
1'b0,
Encoder_MSBIndex
}
;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_ExprRhs = {
1'b0,
InterconnectModule_L114F13L125T14_0_leftIndex
}
;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs = {
1'b0,
State_leftAddr
}
;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs = {
1'b0,
InterconnectModule_L114F13L125T14_0_leftIndex
}
;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprLhs = {
1'b0,
Encoder_MSBIndex
}
;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_ExprRhs = {
1'b0,
InterconnectModule_L114F13L125T14_1_leftIndex
}
;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprLhs = {
1'b0,
State_leftAddr
}
;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_ExprRhs = {
1'b0,
InterconnectModule_L114F13L125T14_1_leftIndex
}
;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_1 = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr_2 = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F122T151_Expr;
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_1 = Inputs_iLeft[State_leftAddr][83];
assign InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T118_Expr_2 = muxRightData_R_RVALID;
assign InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_1 = TransactionDetectors0_oTXBegin;
assign InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr_2 = TransactionDetectors0_oTransaction;
assign InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_1 = TransactionDetectors1_oTXBegin;
assign InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr_2 = TransactionDetectors1_oTransaction;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_1 = Encoder_HasActive;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr_2 = InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F56T85_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr_1 = Encoder_HasActive;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1 = Inputs_iLeft[0][82];
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2 = InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1 = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2 = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1 = Inputs_iLeft[0][83];
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2 = muxRightData_R_RVALID;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_1 = Encoder_HasActive;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr_2 = InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F56T85_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr_1 = Encoder_HasActive;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_1 = Inputs_iLeft[1][82];
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr_2 = InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_1 = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr_2 = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F122T151_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_1 = Inputs_iLeft[1][83];
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T118_Expr_2 = muxRightData_R_RVALID;
assign Inputs_iLeft[0] = iLeft0;
assign Inputs_iLeft[1] = iLeft1;
assign Inputs_iRight[0] = iRight0;
assign Inputs_iRight[1] = iRight1;
assign Inputs_iRight[2] = iRight2;
assign Inputs_iRight[3] = iRight3;
assign Inputs_iRight[4] = iRight4;
assign Inputs_iRight[5] = iRight5;
assign Inputs_iRight[6] = iRight6;
assign Inputs_iRight[7] = iRight7;
assign Inputs_iRight[8] = iRight8;
assign Inputs_iRight[9] = iRight9;
assign InterconnectModule_L80F47T105_Enumerable[0] = TransactionDetectors0_oTransaction;
assign InterconnectModule_L80F47T105_Enumerable[1] = TransactionDetectors1_oTransaction;
assign ActiveTransactions[0] = InterconnectModule_L80F47T105_Enumerable[0];
assign ActiveTransactions[1] = InterconnectModule_L80F47T105_Enumerable[1];
assign currentTXEnd = InterconnectModule_L89F40T91_AXI4ReadInteconnectModule_L43F78T151_Expr;
assign muxLeft[0] = DuplexMux_oLeft[0];
assign muxLeft[1] = DuplexMux_oLeft[1];
assign muxLeft[2] = DuplexMux_oLeft[2];
assign muxLeft[3] = DuplexMux_oLeft[3];
assign muxLeft[4] = DuplexMux_oLeft[4];
assign muxLeft[5] = DuplexMux_oLeft[5];
assign muxLeft[6] = DuplexMux_oLeft[6];
assign muxLeft[7] = DuplexMux_oLeft[7];
assign muxLeft[8] = DuplexMux_oLeft[8];
assign muxLeft[9] = DuplexMux_oLeft[9];
assign muxLeftData_AR_ARID = DuplexMux_oMuxLeftData[7:0];
assign muxLeftData_AR_ARADDR = DuplexMux_oMuxLeftData[39:8];
assign muxLeftData_AR_ARLEN = DuplexMux_oMuxLeftData[47:40];
assign muxLeftData_AR_ARSIZE = DuplexMux_oMuxLeftData[50:48];
assign muxLeftData_AR_ARBURST = DuplexMux_oMuxLeftData[52:51];
assign muxLeftData_AR_ARLOCK = DuplexMux_oMuxLeftData[54:53];
assign muxLeftData_AR_ARCACHE = DuplexMux_oMuxLeftData[58:55];
assign muxLeftData_AR_ARPROT = DuplexMux_oMuxLeftData[61:59];
assign muxLeftData_AR_ARQOS = DuplexMux_oMuxLeftData[65:62];
assign muxLeftData_AR_ARREGION = DuplexMux_oMuxLeftData[73:66];
assign muxLeftData_AR_ARUSER = DuplexMux_oMuxLeftData[81:74];
assign muxLeftData_AR_ARVALID = DuplexMux_oMuxLeftData[82];
assign muxLeftData_R_RREADY = DuplexMux_oMuxLeftData[83];
assign muxRight[0] = DuplexMux_oRight[0];
assign muxRight[1] = DuplexMux_oRight[1];
assign muxRightData_AR_ARREADY = DuplexMux_oMuxRightData[0];
assign muxRightData_R_RID = DuplexMux_oMuxRightData[8:1];
assign muxRightData_R_RDATA[0] = DuplexMux_oMuxRightData[16:9];
assign muxRightData_R_RDATA[1] = DuplexMux_oMuxRightData[24:17];
assign muxRightData_R_RDATA[2] = DuplexMux_oMuxRightData[32:25];
assign muxRightData_R_RDATA[3] = DuplexMux_oMuxRightData[40:33];
assign muxRightData_R_RRESP = DuplexMux_oMuxRightData[42:41];
assign muxRightData_R_RLAST = DuplexMux_oMuxRightData[43];
assign muxRightData_R_RUSER = DuplexMux_oMuxRightData[51:44];
assign muxRightData_R_RVALID = DuplexMux_oMuxRightData[52];
assign rightAddr = axiRightAddr;
assign InterconnectModule_L82F41T99_Enumerable[0] = TransactionDetectors0_oTransaction;
assign InterconnectModule_L82F41T99_Enumerable[1] = TransactionDetectors1_oTransaction;
assign Transactions[0] = InterconnectModule_L82F41T99_Enumerable[0];
assign Transactions[1] = InterconnectModule_L82F41T99_Enumerable[1];
assign InterconnectModule_L83F36T108_Enumerable[0] = InterconnectModule_L83F36T108_TransactionDetectors0_InterconnectModule_L83F69T97_Expr;
assign InterconnectModule_L83F36T108_Enumerable[1] = InterconnectModule_L83F36T108_TransactionDetectors1_InterconnectModule_L83F69T97_Expr;
assign TXBegin[0] = InterconnectModule_L83F36T108_Enumerable[0];
assign TXBegin[1] = InterconnectModule_L83F36T108_Enumerable[1];
assign InterconnectModule_L81F44T105_Enumerable[0] = TransactionDetectors0_oWaitForRestart;
assign InterconnectModule_L81F44T105_Enumerable[1] = TransactionDetectors1_oWaitForRestart;
assign WaitForRestarts[0] = InterconnectModule_L81F44T105_Enumerable[0];
assign WaitForRestarts[1] = InterconnectModule_L81F44T105_Enumerable[1];
assign axiRightAddr = AXI4ReadInteconnectModule_L32F37T75_Index;
assign rangeDetectorActive = AXI4ReadInteconnectModule_L35F46T88_Index;
assign AXI4ReadInteconnectModule_L34F53T104_Enumerable[0] = rangeDetectorArray0_oActive;
assign AXI4ReadInteconnectModule_L34F53T104_Enumerable[1] = rangeDetectorArray1_oActive;
assign rangeDetectorActiveFlags[0] = AXI4ReadInteconnectModule_L34F53T104_Enumerable[0];
assign rangeDetectorActiveFlags[1] = AXI4ReadInteconnectModule_L34F53T104_Enumerable[1];
assign AXI4ReadInteconnectModule_L31F47T97_Enumerable[0] = rangeDetectorArray0_oIndex;
assign AXI4ReadInteconnectModule_L31F47T97_Enumerable[1] = rangeDetectorArray1_oIndex;
assign rangeDetectorIndexes[0] = AXI4ReadInteconnectModule_L31F47T97_Enumerable[0];
assign rangeDetectorIndexes[1] = AXI4ReadInteconnectModule_L31F47T97_Enumerable[1];
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[0] = InterconnectModule_L114F13L125T14_0_InterconnectModule_L122F35T85_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[1] = InterconnectModule_L114F13L125T14_0_InterconnectModule_L121F36T54_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[2] = InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr;
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[3] = InterconnectModule_L114F13L125T14_0_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr;
assign TransactionDetectors0_iTXEnd = InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[3];
assign TransactionDetectors0_iTXBegin = InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[2];
assign TransactionDetectors0_iRestart = InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[1];
assign TransactionDetectors0_iActive = InterconnectModule_L114F13L125T14_0_InterconnectModule_L117F21L123T22_Object[0];
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[0] = InterconnectModule_L114F13L125T14_1_InterconnectModule_L122F35T85_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[1] = InterconnectModule_L114F13L125T14_1_InterconnectModule_L121F36T54_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[2] = InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F80T138_Expr;
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[3] = InterconnectModule_L114F13L125T14_1_InterconnectModule_L120F34T75_AXI4ReadInteconnectModule_L43F78T151_Expr;
assign TransactionDetectors1_iTXEnd = InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[3];
assign TransactionDetectors1_iTXBegin = InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[2];
assign TransactionDetectors1_iRestart = InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[1];
assign TransactionDetectors1_iActive = InterconnectModule_L114F13L125T14_1_InterconnectModule_L117F21L123T22_Object[0];
assign InterconnectModule_L128F17L131T18_Object[1:0] = {
TXBegin[1],
TXBegin[0]
}
;
assign Encoder_iValues[1] = InterconnectModule_L128F17L131T18_Object[1];
assign Encoder_iValues[0] = InterconnectModule_L128F17L131T18_Object[0];
assign InterconnectModule_L135F17L143T18_Object[167:0] = {
Inputs_iLeft[1],
Inputs_iLeft[0]
}
;
assign InterconnectModule_L135F17L143T18_Object[168:168] = State_leftAddr;
assign InterconnectModule_L135F17L143T18_Object[169] = State_leftAddrValid;
assign InterconnectModule_L135F17L143T18_Object[699:170] = {
Inputs_iRight[9],
Inputs_iRight[8],
Inputs_iRight[7],
Inputs_iRight[6],
Inputs_iRight[5],
Inputs_iRight[4],
Inputs_iRight[3],
Inputs_iRight[2],
Inputs_iRight[1],
Inputs_iRight[0]
}
;
assign InterconnectModule_L135F17L143T18_Object[703:700] = State_rightAddr;
assign InterconnectModule_L135F17L143T18_Object[704] = State_rightAddrValid;
assign DuplexMux_iRightAddrValid = InterconnectModule_L135F17L143T18_Object[704];
assign DuplexMux_iRightAddr = InterconnectModule_L135F17L143T18_Object[703:700];
assign DuplexMux_iRight[9] = InterconnectModule_L135F17L143T18_Object[699:647];
assign DuplexMux_iRight[8] = InterconnectModule_L135F17L143T18_Object[646:594];
assign DuplexMux_iRight[7] = InterconnectModule_L135F17L143T18_Object[593:541];
assign DuplexMux_iRight[6] = InterconnectModule_L135F17L143T18_Object[540:488];
assign DuplexMux_iRight[5] = InterconnectModule_L135F17L143T18_Object[487:435];
assign DuplexMux_iRight[4] = InterconnectModule_L135F17L143T18_Object[434:382];
assign DuplexMux_iRight[3] = InterconnectModule_L135F17L143T18_Object[381:329];
assign DuplexMux_iRight[2] = InterconnectModule_L135F17L143T18_Object[328:276];
assign DuplexMux_iRight[1] = InterconnectModule_L135F17L143T18_Object[275:223];
assign DuplexMux_iRight[0] = InterconnectModule_L135F17L143T18_Object[222:170];
assign DuplexMux_iLeftAddrValid = InterconnectModule_L135F17L143T18_Object[169];
assign DuplexMux_iLeftAddr = InterconnectModule_L135F17L143T18_Object[168:168];
assign DuplexMux_iLeft[1] = InterconnectModule_L135F17L143T18_Object[167:84];
assign DuplexMux_iLeft[0] = InterconnectModule_L135F17L143T18_Object[83:0];
assign AXI4ReadInteconnectModule_L22F13L28T14_0_AXI4ReadInteconnectModule_L24F54L27T18_Object[31:0] = Inputs_iLeft[0][39:8];
assign rangeDetectorArray0_iAddress = AXI4ReadInteconnectModule_L22F13L28T14_0_AXI4ReadInteconnectModule_L24F54L27T18_Object[31:0];
assign AXI4ReadInteconnectModule_L22F13L28T14_1_AXI4ReadInteconnectModule_L24F54L27T18_Object[31:0] = Inputs_iLeft[1][39:8];
assign rangeDetectorArray1_iAddress = AXI4ReadInteconnectModule_L22F13L28T14_1_AXI4ReadInteconnectModule_L24F54L27T18_Object[31:0];
assign M2S0 = muxLeft[0];
assign M2S1 = muxLeft[1];
assign M2S2 = muxLeft[2];
assign M2S3 = muxLeft[3];
assign M2S4 = muxLeft[4];
assign M2S5 = muxLeft[5];
assign M2S6 = muxLeft[6];
assign M2S7 = muxLeft[7];
assign M2S8 = muxLeft[8];
assign M2S9 = muxLeft[9];
assign S2M0 = muxRight[0];
assign S2M1 = muxRight[1];
assign DuplexMux_iLeft0_DuplexMux_iLeft_HardLink = DuplexMux_iLeft[0];
assign DuplexMux_iLeft1_DuplexMux_iLeft_HardLink = DuplexMux_iLeft[1];
assign DuplexMux_iLeftAddr_DuplexMux_iLeftAddr_HardLink = DuplexMux_iLeftAddr;
assign DuplexMux_iLeftAddrValid_DuplexMux_iLeftAddrValid_HardLink = DuplexMux_iLeftAddrValid;
assign DuplexMux_iRight0_DuplexMux_iRight_HardLink = DuplexMux_iRight[0];
assign DuplexMux_iRight1_DuplexMux_iRight_HardLink = DuplexMux_iRight[1];
assign DuplexMux_iRight2_DuplexMux_iRight_HardLink = DuplexMux_iRight[2];
assign DuplexMux_iRight3_DuplexMux_iRight_HardLink = DuplexMux_iRight[3];
assign DuplexMux_iRight4_DuplexMux_iRight_HardLink = DuplexMux_iRight[4];
assign DuplexMux_iRight5_DuplexMux_iRight_HardLink = DuplexMux_iRight[5];
assign DuplexMux_iRight6_DuplexMux_iRight_HardLink = DuplexMux_iRight[6];
assign DuplexMux_iRight7_DuplexMux_iRight_HardLink = DuplexMux_iRight[7];
assign DuplexMux_iRight8_DuplexMux_iRight_HardLink = DuplexMux_iRight[8];
assign DuplexMux_iRight9_DuplexMux_iRight_HardLink = DuplexMux_iRight[9];
assign DuplexMux_iRightAddr_DuplexMux_iRightAddr_HardLink = DuplexMux_iRightAddr;
assign DuplexMux_iRightAddrValid_DuplexMux_iRightAddrValid_HardLink = DuplexMux_iRightAddrValid;
assign DuplexMux_oLeft[0] = DuplexMux_oLeft0_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[1] = DuplexMux_oLeft1_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[2] = DuplexMux_oLeft2_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[3] = DuplexMux_oLeft3_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[4] = DuplexMux_oLeft4_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[5] = DuplexMux_oLeft5_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[6] = DuplexMux_oLeft6_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[7] = DuplexMux_oLeft7_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[8] = DuplexMux_oLeft8_DuplexMux_oLeft_HardLink;
assign DuplexMux_oLeft[9] = DuplexMux_oLeft9_DuplexMux_oLeft_HardLink;
assign DuplexMux_oMuxLeftData = DuplexMux_oMuxLeftData_DuplexMux_oMuxLeftData_HardLink;
assign DuplexMux_oMuxRightData = DuplexMux_oMuxRightData_DuplexMux_oMuxRightData_HardLink;
assign DuplexMux_oRight[0] = DuplexMux_oRight0_DuplexMux_oRight_HardLink;
assign DuplexMux_oRight[1] = DuplexMux_oRight1_DuplexMux_oRight_HardLink;
assign Encoder_iValues0_Encoder_iValues_HardLink = Encoder_iValues[0];
assign Encoder_iValues1_Encoder_iValues_HardLink = Encoder_iValues[1];
assign Encoder_HasActive = Encoder_HasActive_Encoder_HasActive_HardLink;
assign Encoder_MSBIndex = Encoder_MSBIndex_Encoder_MSBIndex_HardLink;
assign Encoder_MSBValue = Encoder_MSBValue_Encoder_MSBValue_HardLink;
assign TransactionDetectors0_iActive_TransactionDetectors0_iActive_HardLink = TransactionDetectors0_iActive;
assign TransactionDetectors0_iRestart_TransactionDetectors0_iRestart_HardLink = TransactionDetectors0_iRestart;
assign TransactionDetectors0_iTXBegin_TransactionDetectors0_iTXBegin_HardLink = TransactionDetectors0_iTXBegin;
assign TransactionDetectors0_iTXEnd_TransactionDetectors0_iTXEnd_HardLink = TransactionDetectors0_iTXEnd;
assign TransactionDetectors0_oTransaction = TransactionDetectors0_oTransaction_TransactionDetectors0_oTransaction_HardLink;
assign TransactionDetectors0_oTXBegin = TransactionDetectors0_oTXBegin_TransactionDetectors0_oTXBegin_HardLink;
assign TransactionDetectors0_oWaitForRestart = TransactionDetectors0_oWaitForRestart_TransactionDetectors0_oWaitForRestart_HardLink;
assign TransactionDetectors1_iActive_TransactionDetectors1_iActive_HardLink = TransactionDetectors1_iActive;
assign TransactionDetectors1_iRestart_TransactionDetectors1_iRestart_HardLink = TransactionDetectors1_iRestart;
assign TransactionDetectors1_iTXBegin_TransactionDetectors1_iTXBegin_HardLink = TransactionDetectors1_iTXBegin;
assign TransactionDetectors1_iTXEnd_TransactionDetectors1_iTXEnd_HardLink = TransactionDetectors1_iTXEnd;
assign TransactionDetectors1_oTransaction = TransactionDetectors1_oTransaction_TransactionDetectors1_oTransaction_HardLink;
assign TransactionDetectors1_oTXBegin = TransactionDetectors1_oTXBegin_TransactionDetectors1_oTXBegin_HardLink;
assign TransactionDetectors1_oWaitForRestart = TransactionDetectors1_oWaitForRestart_TransactionDetectors1_oWaitForRestart_HardLink;
assign rangeDetectorArray0_iAddress_rangeDetectorArray0_iAddress_HardLink = rangeDetectorArray0_iAddress;
assign rangeDetectorArray0_oActive = rangeDetectorArray0_oActive_rangeDetectorArray0_oActive_HardLink;
assign rangeDetectorArray0_oIndex = rangeDetectorArray0_oIndex_rangeDetectorArray0_oIndex_HardLink;
assign rangeDetectorArray1_iAddress_rangeDetectorArray1_iAddress_HardLink = rangeDetectorArray1_iAddress;
assign rangeDetectorArray1_oActive = rangeDetectorArray1_oActive_rangeDetectorArray1_oActive_HardLink;
assign rangeDetectorArray1_oIndex = rangeDetectorArray1_oIndex_rangeDetectorArray1_oIndex_HardLink;
assign AXI4ReadInteconnectModule_L35F46T88_Index = rangeDetectorActiveFlags[Encoder_MSBIndex];
assign InterconnectModule_L114F13L125T14_0_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index = rangeDetectorActiveFlags[0];
assign InterconnectModule_L114F13L125T14_1_InterconnectModule_L119F36T79_AXI4ReadInteconnectModule_L44F101T138_Index = rangeDetectorActiveFlags[1];
assign AXI4ReadInteconnectModule_L32F37T75_Index = rangeDetectorIndexes[Encoder_MSBIndex];
// [BEGIN USER ARCHITECTURE]
// [END USER ARCHITECTURE]
endmodule