From 51af993147bd56342541f4c86576232d7d784e7a Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Fri, 3 Nov 2023 02:45:22 +0100 Subject: [PATCH 01/24] try fix radiomics --- GaelO2/app/Jobs/JobRadiomicsReport.php | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index 5c2bc0d63..bd63a2f34 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -19,7 +19,6 @@ use Illuminate\Queue\InteractsWithQueue; use Illuminate\Queue\SerializesModels; use Illuminate\Support\Facades\App; -use Illuminate\Support\Facades\Log; use DateTime; use Exception; use Throwable; @@ -159,9 +158,7 @@ public function handle( private function sendDicomToProcessing(string $orthancSeriesIdPt) { $temporaryZipDicom = tempnam(ini_get('upload_tmp_dir'), 'TMP_Inference_'); - $temporaryZipDicomHandle = fopen($temporaryZipDicom, 'r+'); - - $this->orthancService->getZipStreamToFile([$orthancSeriesIdPt], $temporaryZipDicomHandle); + $this->orthancService->getZipStreamToFile([$orthancSeriesIdPt], $temporaryZipDicom); $this->gaelOProcessingService->createDicom($temporaryZipDicom); $this->addCreatedRessource('dicoms', $orthancSeriesIdPt); From 5a612b0bb4c0bdac121c8cd0851454849d4443b2 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Fri, 3 Nov 2023 09:40:29 +0100 Subject: [PATCH 02/24] cotent type optional in create file visit --- .../UseCases/CreateFileToVisit/CreateFileToVisitRequest.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/app/GaelO/UseCases/CreateFileToVisit/CreateFileToVisitRequest.php b/GaelO2/app/GaelO/UseCases/CreateFileToVisit/CreateFileToVisitRequest.php index 825a3d3cd..764d0640b 100644 --- a/GaelO2/app/GaelO/UseCases/CreateFileToVisit/CreateFileToVisitRequest.php +++ b/GaelO2/app/GaelO/UseCases/CreateFileToVisit/CreateFileToVisitRequest.php @@ -8,7 +8,7 @@ class CreateFileToVisitRequest public int $visitId; public string $studyName; public string $key; - public string $contentType; + public ?string $contentType = null; public string $content; public ?string $extension = null; } From 7e9446851e705a65601d9674941f9a7682a8ebd2 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Mon, 6 Nov 2023 00:21:17 +0100 Subject: [PATCH 03/24] radiomics behalf user id optional --- GaelO2/app/GaelO/Adapters/JobAdapter.php | 2 +- .../app/GaelO/Interfaces/Adapters/JobInterface.php | 2 +- GaelO2/app/Jobs/JobRadiomicsReport.php | 14 +++++++++++--- 3 files changed, 13 insertions(+), 5 deletions(-) diff --git a/GaelO2/app/GaelO/Adapters/JobAdapter.php b/GaelO2/app/GaelO/Adapters/JobAdapter.php index 7cc69ed77..6c101e7e5 100644 --- a/GaelO2/app/GaelO/Adapters/JobAdapter.php +++ b/GaelO2/app/GaelO/Adapters/JobAdapter.php @@ -13,7 +13,7 @@ public function sendQcReportJob(int $visitId): void JobQcReport::dispatch($visitId); } - public function sendRadiomicsReport(int $visitId, int $behalfUserId): void + public function sendRadiomicsReport(int $visitId, ?int $behalfUserId): void { JobRadiomicsReport::dispatch($visitId, $behalfUserId); } diff --git a/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php b/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php index c064361df..386e50f15 100644 --- a/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php +++ b/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php @@ -5,5 +5,5 @@ interface JobInterface { public function sendQcReportJob(int $visitId) : void; - public function sendRadiomicsReport(int $visitId, int $behalfUserId) :void; + public function sendRadiomicsReport(int $visitId, ?int $behalfUserId) :void; } \ No newline at end of file diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index bd63a2f34..76becb72a 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -5,6 +5,7 @@ use App\GaelO\Exceptions\GaelOException; use App\GaelO\Interfaces\Repositories\DicomStudyRepositoryInterface; use App\GaelO\Interfaces\Repositories\VisitRepositoryInterface; +use App\GaelO\Repositories\StudyRepository; use App\GaelO\Services\GaelOClientService; use App\GaelO\Services\GaelOProcessingService\GaelOProcessingService; use App\GaelO\Services\MailServices; @@ -31,12 +32,12 @@ class JobRadiomicsReport implements ShouldQueue, ShouldBeUnique public $timeout = 1200; public $tries = 1; private int $visitId; - private int $behalfUserId; + private ?int $behalfUserId; private array $createdFiles = []; private GaelOProcessingService $gaelOProcessingService; private OrthancService $orthancService; - public function __construct(int $visitId, int $behalfUserId) + public function __construct(int $visitId, ?int $behalfUserId) { $this->onQueue('processing'); $this->visitId = $visitId; @@ -45,6 +46,7 @@ public function __construct(int $visitId, int $behalfUserId) public function handle( VisitRepositoryInterface $visitRepositoryInterface, + StudyRepository $studyRepository, DicomStudyRepositoryInterface $dicomStudyRepositoryInterface, OrthancService $orthancService, GaelOProcessingService $gaelOProcessingService, @@ -133,7 +135,13 @@ public function handle( ); //Send file to store using API as job worker may not access to the storage backend - $user = User::find($this->behalfUserId); + if($this->behalfUserId){ + $user = User::find($this->behalfUserId); + } else{ + $studyEntity = $studyRepository->find($studyName); + $user = User::where('email', $studyEntity->contactEmail)->sole(); + } + $tokenResult = $user->createToken('GaelO')->plainTextToken; $gaeloClientService->loadUrl(); $gaeloClientService->setAuthorizationToken($tokenResult); From ad82919b7e5e641890285744a26daf3e96f57c7b Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 9 Nov 2023 11:20:26 +0100 Subject: [PATCH 04/24] safety check find ct and pt to run inference --- GaelO2/app/Jobs/JobRadiomicsReport.php | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index 76becb72a..593130d14 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -135,9 +135,9 @@ public function handle( ); //Send file to store using API as job worker may not access to the storage backend - if($this->behalfUserId){ + if ($this->behalfUserId) { $user = User::find($this->behalfUserId); - } else{ + } else { $studyEntity = $studyRepository->find($studyName); $user = User::where('email', $studyEntity->contactEmail)->sole(); } @@ -188,6 +188,11 @@ private function getSeriesOrthancIds(array $dicomStudyEntity) } } + if (!$idPT || !$idCT) { + //Can happen in case of a study reactivation, at reactivation series are softdeleted so we won't run the inference + throw new GaelOException("Didn't found CT and PT Series to run the inference"); + } + return [ 'orthancSeriesIdPt' => $idPT, 'orthancSeriesIdCt' => $idCT From b817db652a867df4f3f0e971f4bb4aa580a9adbf Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 9 Nov 2023 11:25:32 +0100 Subject: [PATCH 05/24] reactivate dicom series when not needed qc --- .../ReactivateDicomSeries/ReactivateDicomSeries.php | 12 +++++++++--- 1 file changed, 9 insertions(+), 3 deletions(-) diff --git a/GaelO2/app/GaelO/UseCases/ReactivateDicomSeries/ReactivateDicomSeries.php b/GaelO2/app/GaelO/UseCases/ReactivateDicomSeries/ReactivateDicomSeries.php index d88d81eb2..6beeecb3f 100644 --- a/GaelO2/app/GaelO/UseCases/ReactivateDicomSeries/ReactivateDicomSeries.php +++ b/GaelO2/app/GaelO/UseCases/ReactivateDicomSeries/ReactivateDicomSeries.php @@ -91,11 +91,17 @@ private function checkAuthorization(int $userId, int $visitId, string $role, str { $qcStatus = $visitContext['state_quality_control']; - //If QC is done, can't reactivate series - if (in_array($qcStatus, [QualityControlStateEnum::ACCEPTED->value, QualityControlStateEnum::REFUSED->value, QualityControlStateEnum::NOT_NEEDED->value])) { - throw new GaelOForbiddenException(); + //If QC is performed, can't reactivate series + if (in_array($qcStatus, [QualityControlStateEnum::ACCEPTED->value, QualityControlStateEnum::REFUSED->value])) { + throw new GaelOForbiddenException("Can't reactivate series on QC performed visit"); + } + + //If QC is not needed we allow supervisor to reactivate series (reactivation after a dicom study delete) + if($qcStatus === QualityControlStateEnum::NOT_NEEDED->value && $role !== Constants::ROLE_SUPERVISOR){ + throw new GaelOForbiddenException("In case of not needed QC only supervisor can reactivate series"); } + //We deny access to all other of than investigator, controller or supervisor if (!in_array($role, [Constants::ROLE_INVESTIGATOR, Constants::ROLE_CONTROLLER, Constants::ROLE_SUPERVISOR])) { throw new GaelOForbiddenException(); } From 222629efbfdcdfbf9046840e1da17cddf27fa236 Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 9 Nov 2023 11:36:02 +0100 Subject: [PATCH 06/24] add tests for reactivate series in case of not needed qc --- .../TestDicoms/ReactivateDicomSeriesTest.php | 32 +++++++++++++++++++ 1 file changed, 32 insertions(+) diff --git a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php index 6713fc6ea..dae5f47f7 100644 --- a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php +++ b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php @@ -91,4 +91,36 @@ public function testReactivateSeriesFailParentStudyDeleted() $response->assertStatus(400); } + + public function testReactivateSeriesAllowedIfSupervisorAndQcNotNeeded() + { + + $this->dicomSeries->dicomStudy->visit->state_quality_control = QualityControlStateEnum::NOT_NEEDED->value; + $this->dicomSeries->dicomStudy->visit->save(); + + $userId = AuthorizationTools::actAsAdmin(false); + AuthorizationTools::addRoleToUser($userId, Constants::ROLE_SUPERVISOR, $this->studyName); + + $this->dicomSeries->dicomStudy->delete(); + $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, []); + + $response->assertStatus(200); + + } + + public function testReactivateSeriesForbiddenIfInvestigatorAndQcNotNeeded() + { + + $this->dicomSeries->dicomStudy->visit->state_quality_control = QualityControlStateEnum::NOT_NEEDED->value; + $this->dicomSeries->dicomStudy->visit->save(); + + $userId = AuthorizationTools::actAsAdmin(false); + AuthorizationTools::addRoleToUser($userId, Constants::ROLE_INVESTIGATOR, $this->studyName); + + $this->dicomSeries->dicomStudy->delete(); + $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, []); + + $response->assertStatus(200); + + } } From a14b6cea00d53c2800db42933dec1111d81ebdc7 Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 9 Nov 2023 11:37:08 +0100 Subject: [PATCH 07/24] forbidden for investigator qc not needed --- GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php index dae5f47f7..9f779fee6 100644 --- a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php +++ b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php @@ -120,7 +120,7 @@ public function testReactivateSeriesForbiddenIfInvestigatorAndQcNotNeeded() $this->dicomSeries->dicomStudy->delete(); $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, []); - $response->assertStatus(200); + $response->assertStatus(403); } } From 2bfa3d48e474b84440de1637ad230b2a80fc40fc Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 9 Nov 2023 11:41:34 +0100 Subject: [PATCH 08/24] fix --- .../Feature/TestDicoms/ReactivateDicomSeriesTest.php | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php index 9f779fee6..1cd0ac045 100644 --- a/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php +++ b/GaelO2/tests/Feature/TestDicoms/ReactivateDicomSeriesTest.php @@ -101,8 +101,8 @@ public function testReactivateSeriesAllowedIfSupervisorAndQcNotNeeded() $userId = AuthorizationTools::actAsAdmin(false); AuthorizationTools::addRoleToUser($userId, Constants::ROLE_SUPERVISOR, $this->studyName); - $this->dicomSeries->dicomStudy->delete(); - $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, []); + $this->dicomSeries->delete(); + $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, ['reason' => 'good series']); $response->assertStatus(200); @@ -117,8 +117,8 @@ public function testReactivateSeriesForbiddenIfInvestigatorAndQcNotNeeded() $userId = AuthorizationTools::actAsAdmin(false); AuthorizationTools::addRoleToUser($userId, Constants::ROLE_INVESTIGATOR, $this->studyName); - $this->dicomSeries->dicomStudy->delete(); - $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, []); + $this->dicomSeries->delete(); + $response = $this->post('api/dicom-series/' . $this->dicomSeries->series_uid.'/activate?role=Supervisor&studyName='.$this->studyName, ['reason' => 'good series']); $response->assertStatus(403); From c55020c6ffd64ff9e5ef265449798a73bdf2cb8d Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Sun, 12 Nov 2023 15:01:13 +0100 Subject: [PATCH 09/24] Pixilib/GaelO_Issues#137 --- .../mails/mail_radiomics_report.blade.php | 24 +++++++++++++++++++ .../views/mails/mjml/radiomics_report.mjml | 8 +++++++ 2 files changed, 32 insertions(+) diff --git a/GaelO2/app/GaelO/views/mails/mail_radiomics_report.blade.php b/GaelO2/app/GaelO/views/mails/mail_radiomics_report.blade.php index 09326dcbf..592bf0a42 100644 --- a/GaelO2/app/GaelO/views/mails/mail_radiomics_report.blade.php +++ b/GaelO2/app/GaelO/views/mails/mail_radiomics_report.blade.php @@ -231,6 +231,30 @@ +
+ + + + + + +
+ +
+ + + + + + +
+
For Investigational use only
These automatic calculations shall be validated by a trained physician
+
+
+ +
+
+
diff --git a/GaelO2/app/GaelO/views/mails/mjml/radiomics_report.mjml b/GaelO2/app/GaelO/views/mails/mjml/radiomics_report.mjml index f8f6a0230..e258ec4e5 100644 --- a/GaelO2/app/GaelO/views/mails/mjml/radiomics_report.mjml +++ b/GaelO2/app/GaelO/views/mails/mjml/radiomics_report.mjml @@ -52,6 +52,14 @@ + + + + For Investigational use only
+ These automatic calculations shall be validated by a trained physician
+
+
+
From 5d80bc70f2e154d8b97f11edca5117c2f6ce35ba Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Sun, 12 Nov 2023 15:24:00 +0100 Subject: [PATCH 10/24] inclusion status optional Pixilib/GaelO_Issues#137 --- .../GaelOStudiesService/ExpectedPatient/ExpectedPatient.php | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/GaelO2/app/GaelO/Services/GaelOStudiesService/ExpectedPatient/ExpectedPatient.php b/GaelO2/app/GaelO/Services/GaelOStudiesService/ExpectedPatient/ExpectedPatient.php index 1f0f5a9c1..b0e5605cf 100644 --- a/GaelO2/app/GaelO/Services/GaelOStudiesService/ExpectedPatient/ExpectedPatient.php +++ b/GaelO2/app/GaelO/Services/GaelOStudiesService/ExpectedPatient/ExpectedPatient.php @@ -6,9 +6,9 @@ class ExpectedPatient { public string $code; public int $centerCode; - public string $inclusionStatus; + public ?string $inclusionStatus; - public function __construct(string $code, int $centerCode, string $inclusionStatus) + public function __construct(string $code, int $centerCode = null, ?string $inclusionStatus = null) { $this->code = $code; $this->centerCode = $centerCode; From 89ac58b63a690dd8df8b5b7ef891947e25de75a7 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Mon, 13 Nov 2023 22:24:08 +0100 Subject: [PATCH 11/24] add infography in welcome email --- GaelO2/app/Mail/UserCreated.php | 9 +++++++++ GaelO2/storage/app/public/.gitignore | 2 ++ .../app/public/gaelo-deidentification.pdf | Bin 0 -> 194779 bytes GaelO2/storage/app/public/gaelo-overview.pdf | Bin 0 -> 288406 bytes 4 files changed, 11 insertions(+) create mode 100644 GaelO2/storage/app/public/gaelo-deidentification.pdf create mode 100644 GaelO2/storage/app/public/gaelo-overview.pdf diff --git a/GaelO2/app/Mail/UserCreated.php b/GaelO2/app/Mail/UserCreated.php index dfcc6b329..f6a82b64f 100644 --- a/GaelO2/app/Mail/UserCreated.php +++ b/GaelO2/app/Mail/UserCreated.php @@ -5,6 +5,7 @@ use Illuminate\Bus\Queueable; use Illuminate\Contracts\Queue\ShouldQueue; use Illuminate\Mail\Mailable; +use Illuminate\Mail\Mailables\Attachment; use Illuminate\Mail\Mailables\Content; use Illuminate\Mail\Mailables\Envelope; use Illuminate\Queue\SerializesModels; @@ -39,4 +40,12 @@ public function content(): Content with: $this->parameters ); } + + public function attachments(): array + { + return [ + Attachment::fromStorageDisk('public', '/gaelo-overview.pdf')->as('gaelo-overview.pdf')->withMime('application/pdf'), + Attachment::fromStorageDisk('public', '/gaelo-deidentification.pdf')->as('gaelo-deidentification.pdf')->withMime('application/pdf') + ]; + } } diff --git a/GaelO2/storage/app/public/.gitignore b/GaelO2/storage/app/public/.gitignore index d6b7ef32c..f3591518d 100755 --- a/GaelO2/storage/app/public/.gitignore +++ b/GaelO2/storage/app/public/.gitignore @@ -1,2 +1,4 @@ * !.gitignore +!gaelo-deidentification.pdf +!gaelo-overview.pdf diff --git a/GaelO2/storage/app/public/gaelo-deidentification.pdf b/GaelO2/storage/app/public/gaelo-deidentification.pdf new file mode 100644 index 0000000000000000000000000000000000000000..149c02c286ac0cec90b6177abe0ab10a5655bb23 GIT binary patch literal 194779 zcmbSz1z1&2*Dqbtf;1kKlsX+sH%O;+BO%?XfJjM$ARtJWw19wgcQ=T13QCHI+=Kr& z<-PCs-Fxa%AiytgWoK^e zWaVXU1_1HP13(Zc420xY0>Jqt0SG7*4CYrv-%!800Rf@-WdOPWFcby{K)?`vAt4fT zJF}~f0Dpc+h&-IkEv`la0g)s`z`uP!aKP^p!0zvLU<3kntquvfRtJFt!GF?05D>(l zbx;uU8XX(~f&4)S0s>KgjsXIKuk{B4q2Pb=3<9IT*V;nBaI{pfKL3~-2#Ny#$sY&- z2VUzBfdv1_GZ+Md!~W-?Jqzfy{vgQz&>sqk{BsN_2n4!T2L@jo z7Yc!18y5;i0I#)$q5hOT7z&49;}42JTpI%cgIwbUfdu|3doU7+yv7R>1iCf`5{kMu z1`-Cp#vc-n_*3=}v>E@YHwXv<`%``p5FGp`&*(bnwYFg3HJw9{K+vCagCN0(KgU2j zBIHm0pb!Y^&-q@N-=E_`Q837#GJv8@{7;^tD6~=jp-U(ViTG2_Fd*7#{-8tGA^+qL z21ERP;lfq}ql{DFbjbO{4PP=D$dh7PdT+rqBJAs7URye2CM=$h}NH4eKr4+xsW zKji{}L$A#Zf&gCAB@}dBALzJyP0r|b;?H@&VMx@Uyue{7*q?R}hr^-Q+QJdn^b3ch z1HvEkK$Bh5B^&{|=7Vqq_&R?G0fMM6xWVBeWt(OS2UHvI%1O$9d zALy0#nq46v&}(ZH0s_0X9w8v;p!0`J5m4YYJ3v6ujsKtn{stxg@N*X@W2-0TP9#KV zgrkNAHvsf%z^`g=?*c#z6rjp4YiD5(K->CNQ9@S$2}gS&R17K(fr^TXLq)}4C@@$; z5{!~U%M2-r5)yz)ilf7tlo(hFffSX3B9I6q2#7*};3yDEQW63Y(&txpGI#q8lYl5R zFd}kxF?MqK3j_g4h}hU9m83|B{tYIP5dB_j|Bl3@te&`-JMl|BF?KPRFgLX~Gv}8D zfZ#o&K!HL+&Mr>o#P@uJ1s7c<&X1mFyV93BzJinaE3)pz=Tk(M%RB zu`OWdyZc7_UlaXBd7h=P=uHGRHP`w3S5=R;KHHw~u2#q)YHv7LJ&ntcY4azE*yBM1pyBGt|MvhjjvhibcX8<~gpv&r> z4(9yg=zCA>AO91zqK)Y9ZAG12#OytEAt)dp+H=rqMDc;pMuv{qa6U9p1R-D`^bLJ} zu_xx{W>=IzKD0ZazgJ*}4~_(Ykm#mJls><>y`77>or|+BIy?YW(eU@LpWi&8`~B;$ z-&P2?^1Le&w9#Mv{BD5mz{%d>zm6d7Wbf*Lw&>qI^UE7MyC~S3Sy@<_n{i6qN8<_z z+N>aG7>o{i+&~Bi5XiyBuV8LwW&B@zRW^1)v;8-DshT_6yE>VgJEQ$a(!)hs%>`|g z=(4mLdd7c^E3F0sTtOYQbfwk6fGaffy9fbX0fOH}C|WvyE5ZQazdTP`4K3B*^F}vA z0ImS?Z(1Y({96n{Li|!_38Kdm2O9zbf89nGLFftpCRJAl0)A8StE;0c{~ADD9gNn| z)qQk(WhZ-6HFFnTe)O(~U)|ip<;pkh9n76vtbR{OK^zEx{uVZxSk2Pd%*yWZRh+N{ z0${&qfv$d}WMXY@dc}s6Ef8=Os?c0Y*@6I9$lcN03hf=HBWCPuekF2#85MO=WgTt>V>fdyg|)*-$lq?E1vm>7OHNS=ou&Ia~dM z11T#fXBTlxV<+^c^tbU`vFv2!;9~Ctfd0kpm6QCY0D;j#^zUpsxtjmx=^u>oYgw7O zSUT&1f9nc@ywdew7Z`0YXc+bT`&SJVz25`F|9=0!|6buLINHA9XfHt{761(0GYpL% z&`1FNHwx`0SL%kNqXQZb0uX5Qj6hQ&&=KLP4t)o`4}!s9=yvFS(8dEtQ=!n<9u1}d zFf=xS|2B(%@&JQD0WfsG=pDfCU)a@N?XMDgX9K=k$7_U@*a-! zwwdH}+?OphZ0}jQB5@TMi@UQN#}FJhm7}c3=2} zI{P_s9$s_ULo+o0^XRP7)5{BfHp2^Z`6WfOUQ?PEH)K$Ec3i);s{Oq(<-+G=L49YG zXz{*Z^$_el=j@e*p^kPYzm-sZDl>|v;!voTJnOI=wXdjfa#nDt^mAB{f3wIrPpQN; z$9iC;vPscSv^C?UYfZfJ+9V9(hsp1*^Vm=6za`>f2+OI?k| z&L~{0n(vB1{46#y?a>>hLgF*xuP;&i7({$d20Q9RU!Hy|*kF=?KK6-YS(t!uBKZi} z_jTW{xjE=l)|XPhH-TjwZs{aoy(x${HXX~UTOD7wDJod)$Uz#W*ID7rotzjs^%V7rFV3RI7&$C zv#X>2EQ8j+0`9yJ#I&eL=J(U@ z*J@8<)A<3J;m`G`ydhyvg01hkss84rr7JfK50)R=37!939eaonzBKrOXUz zexvkotw$x~`IKstx`v@%g&Oq!MKa04XZx6@cj{#6q%9V#=QqFX0aNK3=Ti(^mL9dc zZw(fHP2rgnxWO1toc-=)vhiEHz|FwvjcLyN^i1qM%rt$2z}I^I`p+<$N2p#qINZoR z`E*43^MO@@n-#V2`1VQo=;U^?jviH z#Lnh|x2#GxernmiDyb&wqdb@32Zb_!R>^z0As>ZV*9F?+6?l>%lw);Gac^_U3zTQ6 zLqFNx=}YmDkoC?~ZpGWX? zJ8f{o3q3Un{dWAPUa7`Y^^sks@{fHUGy#xKOjY_s7b`$2l5oSQH3 zw>t}Oospz69fl0h++?y0$vUsnmac-*2f&nSED4~cyYALAyMy&5Zx3XtY?Sa$JPh?n zH5iinc`479#-C;bR9=|O-HeNF$hx1IITVAqB^6_wlu`=DR?17yY*uT)G*MD-d|g|7 zKl$D*n~%+6so63Oq>{w!G*xd(4)h~-slb@i_t>ng=hYpGGQe4x4{T{&siLWR3b7># z+V*%8`pMsZdeLN!r|JR_6BT^mDcvifJJOx-#LccCGS|ATt4tjOIM;zQ#uSUcOn71L`R?!U~F^sqoM#_@|pq&?IAU z=KBoJ@{~2*LQF57m3K)+3v#<1^Umx1hq@{S0Y#kPS_!=BV=Xd4(90 zdd=>BUTO=Y$w6DUBflLl)^~2Pc9N+P=MQ6w@9gJCj>(Z|YSicxEq#5YgkrNZq%K~Y z=qH(QET#P5r?FPn32{6X?LAi>7qSesaUGAZ5p|GuecxB(I2It?Qvt=F8TlYLVhx#Q zN}~T1)&C(!RWiZXmktodua!W^xzAPxv0e-nQp@mvqzX ziIx0w8^EE({D3P%^$kYjc8a3?m zQ~cCNHcR3M+!Q^pJu)JHMy};G-1(h9kU6Ak;Vpj8_h(*>5l?l$Ku1q@0Y9l*bfpl?k=yvB^(Y237b2)=U@J6ZnzeP%LMQWfjKFz#npBFE za9ZhR8w4VtP4!-epN1jUX+ig)G0rOss+3nlmfG44Se>84yarhP$7KBSA4XK)av>m0 z%>jtN4otFF=>Xd`yp&+zY*$%SIs4=@D9;%X^z~QRs7p)5MeS=5IuX}sG67S$X+bHb z%GpDb56}Px2j%|gzWj|!UV6f}Qoa4UToQt~06XVg?Y8_+N;vU;sdVG-@iFmei>N-5 zT)1wXJwJZdB2Nrm6v?;gceL#^#8+b_-bBH_dBuj}G9#FOpUIo1-LiO}!MDB8&1 zn{N7%M32SPw`rUVll`DY)ulpTc)4PyzWIIflmEvgM)g8lpKf}_hp_shPjZoG_j(?c zRvJ{?^e*19R%@ott2wd65ypeV+k*Et(O$zx%ai=2Nu|?E&wOxMn2QMv~TH^sg&utj~W1&Wp?AK_P~#ysRkGh=u8c{H(^pL>bGV@G~^H#%3?oxV52 zOxa`5(0(05!J(M?VFGeYWoMp-8YFMy9jMH0Ev5Z*TbOx~nXBD7_gz!@i*#W|;h@zi zuJOh}q%{dwsZVZl>v>eLfv>>9>kXnUp4u0!iIA6-{hj;MnvXV8gDwuWRhMlHdO{&5 z%m<&xsDzkm({f%8ug}oj?yQ`(YzQ)p935f~-_`MIzCc;zdofrGsP!Y=t6xYkkBKUxZkEPZX85_89!5TrO~o0?#I$I60>3-Dk+ih--eU-!X-nR;7?dHDUb zm|XA8v6o|J=WLTYI3nNQ5RtC?3oAVer3FcUyo{K1Jn$z~&8f@Zw` znS1$-ELOZ>!p2>gH(Ll6#9l^tYRBh$s1`|kwiqcvSSlNcl^doVXBw)kA4aV8(2*+- z8)s*hmF@AnoVWc#SeuGCVO7}E{48dF1vT-gYlfN^HX3L?icfGX zq~wpAc%77(hmWX!Eun>>_W=ip>jGz(F?eR(5sGi6VI4#C=)|dPcg!)XIdke^ScRpP_m7b<->{_oi!DMIqfvSSp|f?s5*J)!M9eD{PDBEOEKrA@J!Zi zA0lk zyn9H~Z>v`2yGypGl#dFFZ|CzAt93DL7_a4}VL5{LmgK4gCFQ7o9)9(l5AZq#TazVG zIze77^HG`;k-PIZ$6%$jFPRRZ2QJW$vxe&Gg@vITP5d@;A2W@zP1IXR)2TJueJ_sl zB$QukL1d!er}pv{?rHdNZ@+yv{qln*PYCrhQNB5&!`=F=jbVGYK-wO6wQpii08G&Q zqz~T=Iumq8Wj_tjsNV!-MqG-G)^X*qy2pcT!-5WSS&8o@utPuExjwMlGl}B7A7X01 z2Tx3>t~?pQALw!C?eM)txVv2c=*c`CpWWik&mPrB_8a0N^x|CX@>b*~37ipQIgEK9 zwDxnGYqizM7gcb}l4i&u*GPh02$WCkql*%v8OKpOKx zbxJ${8fNXU%;U)!YZCi+$Q1-8H7=nWe2wdQVy?WH_nkdu)pv5$;Ggo3$6~8CRNdEB z*T;xLGtCtQcZ$p2e}-?6{}^bw#j0>*=v}`o@nC4 z$odO@heEG7|#gV!#d}KdxOT9K7o_KFP*VZXD*UNHo+ySkJdMYLw#ndMBx$> zz4HA(ig{$mkXpLDTB=_TV;cxC7s8hkNO=L~=H5vS6XLQ7=TQ??3BG7JmmZaogYqyqTIa@_v9MIuE zD&i^JV*osdPt1W5H%Y37!*_67h9zo4G-GBK)NPvXmyY9FPLtCBa=0Xo#x!(0eCN*( z(>%(5{yL<5^W+^i>-E4LFNZ0k~`@3fOlyR2gSwqvP0dNhwFP{Dz7g*_BKEtL8;43%e_ zgRu#j~%ppIO9c^*>L|JD)`4r)tn#+zL_N|vyvO*N` zw(L86x9@#fB7`Is7bTRx0j_;byp&aRZH=K+jlSsLove`)apf6H9S zpwQT#q9%5nS1P#Cs;*7v<1K`@0&r$R0A^VJhV3K!C|jkr)u#W4v9^Q{^W3?4#qSOZ zlB34&b@ZL2M56tU4w)Q4aZ(A$RR3Z}=X%)7?Cvsp{O$5V*Y+f?Mz@FBc)MY4rn50KS-5~zLt`I0TB=wKP^fk&^-+XQ zENLb}^YtV-=+gAI_9NQfWoWUy)=Y`mWLvU)EifU6yN@hIyg} zL@uT=fTKHUt{IgT$8HpdV$xQ-Gooq~U#uRzvy&`1VZ$Wlc)Sq0Zc*ud%axnzR&IUy zs|_1GK#ADxbp9v;oJWfz#(7Fvn(y+Dvma4pDbKmRJuN;=EW#eIeV5FZ!iV8AfeF(q zEXSN>%3>C+YgRg|98V0=8A@XDf&NwU1skQn*AkC{&blH?lyyU$W!Ui= zy*Gh2eJ+MKB~1a5T|IGH-!@0#YFow#N6^#YmGIKV^{-2Y0zTi{Xqqmj+w6b!WZFmZ z--gy(ocd}tzLh2ncs(Kd0sir_M6yBrIoUW1i`e(^~>&BkAcZTnHB%)7ToBe1s~5r;jOH1hE}Iy8*h zZxbdZy|MS;BcS4avl&7H`H(0?Z`k)%aSFfRJmLpzLrq6b@Xe1xwJ@x(&hy&_L5O|k z-37!`pTpaoefqVIv@bG!8^z44yNNr3WvW9H=n#YbcE12smrV=Kn4z%!?PZRc*{^wIa z{PCrcWGQ)>D5+4o2c99mq%TRO+%SU04C|sd)58agS>N^GKLxszPJXNA4@`HsU?i(P zWj?-#0#I_8!aF%tqE^{ex877npQ&gEm#rjj#ecc>8 zAvqk6r(n-$jut(N7Kt;co^F6b*o$D7VjTmHvEH8@ zZgR`I3beBgnNX@TCmuQ_mJ`cvkoAIiAIH9kl-1Ap^n5mn+A-;?AonVm@Rb$HkUw-^ zms0HKQP2x<7Ofaw@&#(v+8btXxMXgP%y?25w@?$3<~(X4Ac7Y-gMOwls795$uoJ$7 zno+m=XVH0QkAzd`rHlJZIW$sx-?*s}t)m!8=D)noE1GzA0FpB}QE%-eGKX7)XH}T@ zmCG<3^+bHp?{w+$bmEdEC1F(`h|{K4Nue)1!0-UM+qkLoN>Ja&3o+u;E$x?kdtog* z+0A)57Pl(7>dYK)D6QV1lJH<>wwH5udAozidfPm$VZI}U-eO;JVb0oj+uN`>{Mf}s zv8r>j%qHtl<_mb}*-&E=fUBqYYvA#q1S z?AHgPRm)o>A$U7bIudxNiBx$Hg9bw-A`7y^;>lnFG_62c#C`3iv$nP=WH;a8b~`g` z;2utMw{BJIcT4(W{a&(Tb2Yv%lU)-Tj5^ko`|M}0rfBif8dlg+qDN<1&IjRfq>il= zQt@^Z=A9>X_88H2ZRsy>Q#ct(#i?Nyt1h8rsBZb{*4#+{SqQgCD2cW>${~N^R#JG6 zrV*_%hsIu1$1COJ7M`;GCt)~=wgm*tpQ`px(q`#8Ga~Yx^4XU&TuR{2jTB9^$(ThU z)MYxVQVuM$w3Y_ZcuzR1;m~d-RqDXwSY3-EsKfN_>{|EQq-U!Mvl*CUPnh`MW-^2@94@wa&GdmFuP zcdNhOpWsb4yBV-2Ns{}FuyN{R8PA<*HFiabyA)e5Z<@x&n6&9hvS>;q7knzzlee>^ z$HmxCq1`cl{$)AJ=M$+6Us{)hzfrck4L*jr^Ja#Yh_$R5H>^%u)_nUtf&Rwv@C&;U zoXy?V19^p3K){$d*&DndW=W?vJejQf5AVOK$Ea6SClCB8HX6#+4!&c|}~}+%txSm1MpOsrW*~o?rxTemArk0DV~Y;w-lkxvc$zINl?^PJ43Y z!`p7IH9e;|%y;3@%8kf^8kzG}Tis?VV?v^6@0apVq{@_&my@&M-{VW_QdMT9HX7r; zFSp(_KbDqOC~?*c-vw@W_2`f_k=i$B5p^yx1W`C&`h9?~2DQ~7#}%l9C~$|I#J)@! z-7&BWmIIsr{K(Yqf7=Lp^1&>WvWK%0V>VIDzA|-0yYeS#T%4g18+_sbMhdLA7d#f>6h7S zn!Xh=U%g~Hn)R-kH42QjNjYT>|Ey(}&`YV$NH4OOl~a%l!KK%3B4B$Mjt^x{Z9Ue7zYIYJS>}c3G83caDOJ(#LyQ-Vh&&eHaQBHMr7hWO+u)m zsT=BNNgtP%o*+^de$51)ZCTf&41IB+9?FbMMLTOdec{5MXUpq;mo0jFUz*-K)Ot@Z z?h5bQ3w>$aUS60vT&SAys}q*Kk!t&{YNP3$0SUiW8`BX`PX9rNPdi;aQP=oKmnOPT z$@(h>ou2spNua!rHkp=T@}cr{#|7lLokVW7`MoR{=)m#r-O!t+&N>{JJzZa8jD znzO?uK~yiD+^XV)_(3mqy(_;+57EcFRZ-=<4DFt34Oe-M2olNomF?E&9ukQ8ldKt_0DxIi9z%nyfxtr#&|={tfIJo5!+e*S*HKm?#EBC3Gn*w&w%A1ixDSA?{Eg%8L1I0mlQ)Mu*bJ`z#QC^w| zeL$Xm1d-t&DIF_UtJ_T?#F|{kj@G6k4t49UD6|59@uon2dd;=v(^8DL3Bv^QHq){0R=KE`(syBT?$G#y;5j!`SG^Ix3j(3C&CK!le4)8POy1VB{@kf8;SQ^8Tv_^o?Cv9kFa|G?uy5T z_#2`2AP!ZD#Lj*J6xI75Pk$~aT3_r*2mK_Il00L`y7Saj{d-3~u;~U6!*s@&|359I zSFgbT#|Mr`6y)F5FU^0fU&W)M{lra#IGB1fxT9FMaXhhsYE*^q9jl{%vJ^jCU)&(! znz18aji-ue81_%5I~eFsslj>t!nI#>fX8Mz-=gddb;|n)Ot{r;;>ql|9_yKqE=NA* zr_;thoYk@uxzS}(Z+zo#raEFpzP*WpnU>ljMHt@6-}h{vv9i!o-Hcy*ruY(fDTL?| zzAn#x?4z`7#!>&wB&r4-#D0qVK=`^;`JJDS932cQ!?i)u0GtZzS}WEMR?p0%MebK& z@yI+I%J_Wd1C$euiOR^%l0+%0iJ`QEiNONX+&PsaqdwzYXQP?0g7^IfPq5(~k;xTY z-HOu23NqgkPFcSK*f_M-RaaO)ATgx*nA%w0>12(lffQgfi^&zYxqQD*y?CN$zQ7Vw zWu!m-c%aszELwywjWzHUQ;<_uL?vzp+*q`woLv_`DWW)z3@bW=mkx@@Etr82KO2Tk zSmIzPQ{Y zc-!u;d(WMPRB>G^WndyFdEdQlLaJfDw0`0J1p^PTk&#Z~%qb$Vk;QWB=EFgQbDYvP z#4X5r1StncUy5(jPB8PcyzmTeksxgXk=Tny+8=vP-;MJOTrYj-1)FI6)VBA2grAD@ zGKi~28{|ti(VrBNSs&L@!NyNgC}Zu}jP868YHj#xRikTnzN5M+->_RU6>eUmT4RK- zc$sWM8ArDL3`L^-7&dbPIGMtwlrc~LA|fc<5XFs5#@*TrIg5{uHTA2y=bBzMKyu>P zJ8bRtRsd&SK65L}W)5aQZhm(qREkgKq0|c(ckT_=JDoIZjCTA1;I0RrALA~yhR6;z z(r&wpad2~VY8`VP1@pQvWUg;^YMpQ%;d8mbBg0;F=Jl=Itn-x@JM|cRyk(~TBmbx? z!-XM^)PFSph@V^Em&ypE^Ooh)|K!3~X*hqPVXa4!3aXm_M8jhCPt5*5vwZ%_occ$W z4+{9dN%FZ$j{grA>?-*h@K<`z@8lbFa_!Z@1~?F%3WK^zWx7ha`A;p;G$;@Noob9u z*g|nGDmwhYjo~8@P~Jw%JyV9(3ck#?2(s(rDX~&xaNvO{02IWX!W3+PmH8eso?gp_2Os^ zVD7`EJY!U#Y+DG=xaBkXY{{1b;DBmKV^+A$So=(PHRL8%%%*CH7uNcsV%?Ej0NV@C z2uPuJ74}Lp!=pGjj&t7dM|Dg_#mtP)c%QxQ^kTTAZK+%VF$|K=%J z^QFq<_z#jrq6-hAi;<%Ri#x^6r{AWwI+=b+8X`*bo}0$E*BSZcMThgVv2_)ASiCLs zd?46BCl=;!Hzh*3d%MeccvznS&PvxAR zJjakCbE7*yAK#~+zt%76X>?D&MSUrHoR^ofn0q*Igt;JH#WJ4jxB9YGQ^sPVOKWUs zZ=oIY5s^{10;CwJ%-JpORF#n?foRkPc&ose~iFLS0Ka z0Jd(1kvcCZT>td+=hFJGhI4nj^!3k*@YAP0n_t}z+iJa?etoA>a6K41Gd;yQSA_l8 zk*-+2WAcqImk7y44Qy+b#AM8bMP|xw3V#WBrJ|w5tYZ>TSti6!{?)2}OrN-c_SjXM zcH?B1SFFDT#RPW6K&sDcRW; zgIoH1|)= zS7N!`5;dJmz>`&Neo-7^r{$N#PY@`(X#UD`!d;i}?bE&o%C-EmiAmMHOqakr z8lfrgc4^r196XH+Rekmt7^^GNlLoVNmJt`ZZeV^6Y;lPTY^Pi#pzclZkLKL4()2|>>kh6(RTXlOHDOC#>iuG zA9nXCh&@X?GL5Y6aaqgYox}Ifkm7aFQ*`U`+5h)8Z}$5T7EI6mBu(U{Z?-RLQqjJ3 zij=-N-16=j4X}E61jkYTfS)$Sx%J(zh@XUalR4h7g%i9IU*LFJVpGvIoVX$vLvio0 z^%tE_j%jgu)4SE6i^mZ^7zbLFiBE3hC0v~APvtx%m$BB`O23?uSI*8nvOd$irE`l! zh6R#CJua<~{+e)?LtW7~TF)w4a7ofxGeF~+2A-er?rIL$f@I!Klde)s*uG4V2kYE% z&Xqe$lkwf9Bajnxu8P?;(en1^4*F{t*dn^vBzE#^*~o!MRL>iTeD$mmNz3??T+6xtowxo!0&;Y6?7uxxT20ioXY8T~T0GV2&k8Y;_H7bSWoPRKu)Wr6 zsRby>X9J=sr!g77FK#@TsBD{YFvn5E!Y0IVtnsQZ+VR?cpE7^sxslrtRXODPI=S~xX`@=(N`_{Fv${{1!?X2%tg0+maD78n(tIh13`#~P>7}{^ zr>mK|r|BoquCIP{+o=6v0+%fLipxtm#u%7L`+%mBJE-uBIiJtW;cL^;eVCPZiug$p z?W!`voGpvfLvD}xjmg1omj04+>6ug1B=op88RW`Wa5u+bcY9A-S*)M^{8cV|N~eEx z87uZw{6NEQ618S+mvQTMCW0jx>IgW|@S6TsEBCwtH;#UU$k}cnzVqE1KfZ?!bvAM7 z`iwCJT=J1fNl1e^-A=b^rHCuB)2*!Kdfyw)U-Z4<{xdYBCwVs_OP|jAPWx7#+r=^? zxH@tMa+Vx+<_)^r1Bq<85KdajP*(UvUd8UIdHt|M5C%)GQ4ax|Y`PW4Q)oj#dqt0!wH)^BQe5?63*d42xOmEe^nM&%*?`qi_SEp#b zR-amX#{14wBSB3^=~k-m?fRNUtJvM!heLuW!LSYPk`WwC$mI?-&E@TTgO_ngSad;v_MQ>GN zsMA=gYh~}QB&D1a)JnZ2L99DO)kSKcaw{@=53(ejV#91=u+?n)?S+!c^zSNSD~Ufk zr!~=UOaBNgS6#Pnv9|V~rC)@-6_|jsI_d0UOhqe=#sA1x@(S>4q0j4~u9qAwRJw_a z7tNJD^nk8sSASJWnojMblNiF0Dusz@r+E8YCrBz3CC;2tWns~Cdt5yar$|)NWqxE_ zvh2e|*6Ngpt4W>wr0A3B{DNW`f*Ai0`A3#cShWO6^mTIIIn{)JxV9^5$7OLw*ksWP z6M3)`scReQe`UiOr;vm(=LG9(ub)I))qOL~kv>b=HgX2(1g*jIHSq3?oBJL`%6Vnz zXJYn@nt}!C_ z$1AxI!Qty5r_Z_k769#F4RF#x$%_rLP=M~0)ErOAgCwz)A3q1-wmnFc?v2M!#Y2*3 zD!=iv*X{Z0M$tpG762H}24)sKk;PPxp$qPx74xKfTCC#wNb(6JC-MQF+#9TcRf$(7 z8ESFiBkV6H!#3Y>rnueQeOu&B`cS>oU39H=QvRh>EZ0{{1pYF+a)d>u7WqgKrOi<- z)~@k0F$LSDq+TN#srPZGJEg<|VbZSL?tr?9ZFr$*YqKdIVKxh=W1@cWx{7TrpP9B(KR2SJ@qh3U8ZV)uoec~3xy?suQ>EP+Or81l}E_IVDp7kf?>b)N-%`2%@=2c)@c*jJ8mwlOz z0EWsBj~S1^&1HPN&CwRtm->BJU6H^5%rNWQ6!PkngExd<* zz8Yf)FiE};V{Gs26?ty{(utqeG`=Y1xv=qqo^ybBC(?g@)| z7L>Q5;%(jbFs7ormtSW5GKqVK^AJ*xMt%4?>- zj;I>&O!~aXbzFAJ4$~5|Jp^uPGy4cLo$!p?_4ah>wTyk%gW>$H< z6zrR+n|O0GUw|@OFo1A*yht8zNo*&SfJf&W<3RU-R4B0_aYrgUwRE7Cr=u&1<>MxF z$Ir)yGGUxMxcotPqM#=^PU`H^%lDgI<#iY51dNNj28?cjV~)4mFrOJ$$Hi;}2Rb=_ z$M`jaDI|dLwiVlu=mUyLXy5O2cj4mryr{~3kF^cD>iX_|z68q@$J&TTH= zv1{}ieuep-;@2-73{0#oP=99r8NQ`8rnRrFq&oDf{uD8Fnrk935pVmgWW89&tMczX{>ahbAJSC&{m01x)=pYOEO)N%Zo&5m-$W&wNFi2<|<4|ASvfb;|0=k|nv+SOU5KY`?3+eFA8^viK*7pYk9( zI~*Iv3B=mH!P+-L%b9`Z3}H4|j-C$wAAQd{ix2pSh!45&z!&PZH-l$3y#Wj?t`1&D!#a{E+hB?!oF7T@@3~n&Xsvq5AktuFl)T7?Jcj+O z$iVHXi3(k~yIaC-L5BATm7dku`cIL4_9Y5+FKwR6hFI0HVLV;GoEjsX1UkHyS6k;D z?mcNCkH`V*Or(no$erf7Vs26eb7=3k+?pL4jjjJ=PP=^HwjUtTb3}%Thrz;bfIhl= z9d`bwLu+cPVoHh<+)57Sc523U&b(iWnIR*EBf0_dUBmV7a4mzPW zlC_pX;?y(jg9zUDOGfcw3i@b^k;>>>*!^FQ(;yXjatu~#+G#Cj$c2Iah%LFP{ZiMd zs#5pHuHLC34ycaA)2A0-j!U^Kf!}JP2FJi9)pKu7?53g?4Y#KF)^;n>^m}XcM;m6= zr_!HZ9`-dt2I^nBoxC~QY23Q!z9!rB@QoI)yHyI{xTvcBmFtB^m3M@k9r^^6*Qs}u zFUhTn^`WT;8}%nnB$`w50dZdrO3UKCU!7?GS~)H`+iTGGUASAdvv9KD-sH9PA#Q+s zi+A+nMiSrtp+T{p?Wf|%odF@wSe*t)->0mD#-t8X3 z=?9CRW?DnOc_XEAL_7xP2JtQnagtJjWG6)(Rh_j5f@d9LiUR`PDPMFy$~~P-xA#B{ z815LXr=zz?-##L4EP6WrlzC&XT5h!RNz>%`L5jrBo9eDM+a6F0T**_pmap zbc6oe%&FhKx|4z~teg9yVP}l))BLJ$GFzQ+-U}GyG_j{wcjaX^bbY%a=)2)ZCfrlt zPvf`5{iwUht195*Ty%+Qu8aE|{H;iH@xlP*u(SI^p2cWW9A3YVzc6jH`+7T?b}u7f zfyq&*&v<3?=Dx-Q45P~D^5&k1q$C=KQ-WJ0L(P7YiIz~8ue7YIsnutPr#Q-Q zENB!7N#IPMP4%CC%#5=!5M&}~ejWe9Lr@goE8*6%EyE@4Am|YCSiTtY|)Jw=H%gF{{j+&Q{w!YVn{*Ay<>6J%mb`-(x52 z)m)Nqw4{@eJ!1h*RNW)?Ja|}ezL+&mdqtDPYaKu#X3Q>#NRmR&M-3}=STyqBM%B#z zRIlj4C#=+aBgDyxZ1hD@f(5~xb9LB^iIlT==uqeJmFUh?>GYB1H*r=n;-574dxZHT z$JfFvf8Kc0`t&|iGDl=Zk^jKK6ZRJu1d;&9CHIHr^sSI(PCV$d#z?2h;%s>ClLz~Q z%+`hvy6;cZe$DT~q%*o>&Pcu}$OK5(Bt`NI=K9YUi;x2+$Ojl1IZ8%oz$H@po`XES zQe>s7?>~n=ZN$219^C6x$IPn6+3xy^+LKeo#bShIIGU3Q#c?KsS7xBD#L%G`h3&2R+l(3v+GbjB{ zA!EcRM!ol)gyH9>C}-JX&>K(g1XExm%eKfPI=p2m$_G=`v(mGsI(If^F^hY3nEXo2 z(||XNRGC$xPO*+l9xmNz?mQ1OdBp@;uo!ISSSwVKY!_D*edhn;(RdP>ijCh545U%= zp8RFJ5&QjYF)68V-{3ss>|;~97nAuxFZ$Bt)p{7XGe2?6$h4Aj-^+M3L}6ix9Z~Aj z^K*G;asMUe?fMZ_q}OA*gTx#6PXeRZCrteOPCV1b8w0CDt10*tw__P6OV&fI^Tjt6 zMcxMia7V1BNwHp1rpo)pC(+@bMLNmcCpFb_DC^+AKh^x{qfsvV}pW+<>QSemWuG&-l zmu*K>+0pa&2aBFZ1>g$C!!yFTp-8`1vBD`JAbF{18Jip-$!NIH`A$_f)xgiQn9X2y z#s@u_IU=o=E%Ns3DF3kOc(9KYZq@h7Y=jtj^bD=Sk_@{T9#88X>`7VW)G;APW5x8K z&*H$n%Fq{&2Y{$Lx@SUDhwFE2>zqABmZ?32HS8R@-%jT-JeER8gO*t~A5w{DM>Ml2_)x81{jnysa}KVdld`tt!3GN7yR8I5Ti?&zvY;oa z13slvB=uc++%j`N6XOB-f|VzsD3pMS(NRBU0u-b?)FN6CmG=BQ?cEj)oKySDKBtom z{VlJ?Y*)U;7j^9;H28HyPaGCK8u++Ud_DC-%G8*7(9G__y&8d)KpzeY%efAhi?7F0dPlepZus6xt zM7D(k=8J^AYu*i6$1WforEHxAZ7A^Od(wtx+e_c8J}!P$D2Tna8z@kH{7(Onw#2if zKv%Yk0#xu&&l6?o`Cen@QO2W;?Tqr{*M%Sttw-6AJ8cDo8>uEl)`X3uYrLO@pEjaj zUPR$3e%RM*Y;HgbsDu*coRr%0 z>ndiGXK#0*f*jz*-tY$Jr|Dh=@5+l{NrGP8;hsi=!b2S zd0S>%82LBmS$$hG6qQj?LwQ3W%#l4?Xi3{rBL8O=myp(~AWf-sXb<}v$|MU%b9tl1 zD9k8Y4=F=9df#xWDpFYeIeByYokhEbh9{Af2zrXFyuP(wOC6i8dWAD63mbdoH0fx~ z+v*Z+`*zZ(yrr4Ta_`L39R#t(eaiYUpr2O5cq?PnrshB}p`NSYz)S@fcpa9dM@5&K zc{pT?9^J~XIO*@%w1y2Sw5y-m?wK#|%TCFT`5{5y4rR*E9DqmhhOt*74wa?2$r)Ua zY_%@i2StLi3pTG&BJJ^TjSA?)Jjo{T-K*E$g zz=#A9JimQCQgJX43?)2Z`sgBlr>xd7;6pAg@hr~D*=RugxpozZtjWjfx(l(~AB9S$ zco0~$BSPQdI`uHmty}Wa%x?%_r>j|WpS_-5&`O>J>&GKPzf*G~A$s%yKf2F^{EjYp zII;c_dtY0EK&t5>21HWr7CsGAB;#_08!3MQ-uwIc71o+ z>G>;LH0;4oI;PrBmeUlv!=K>p9jwC7htT1$&4z;TiYavMB5LAT@5BRFWkRMN!E%({Wlp7$6Z<4GaGy+^>Lg;LH-| zSa>LrF{V|1LcLH*e_jhizKV2j!GPg2`)vs8@D{|nkN_)PZ*=a5#i2%lb-AB)L{}+E zR7rSJW3hI<`jS9BT}7oP`)$5pH$HQMym3U{Q$i1Dd$1QH8a4hC2Ept%;*fz{m%)uv z(d{Ysv_(8oqnxCR3~vt{f*UI0RbyH~@x)C;Mdt}a`_4=a{zu^gWS<5rRp;9%4_B+v zQ?4;ujX{=F*seH>n;SXn0&b9<7MJ0sjR=*WrJO?jsS~i)1-bR#>pqQk`=)%(b$T~C z42AqCMzFk^L@gV#(wIr9E!KNr#*8>H;wU_Mc>T0GA&-S4!NHcCFWD3k0n$oECCwD& znS^(1%;Rf$s46z$FB$Bcj+I5a?`$0fU-BxmG1*kKpMCpwr{>f3;`;QamG*ddRuVyX zJBEbKpygP|6;>n^3pZi=czx{r4)6+aF-U6tmCXB}aU~d8|D(GwtHu*e+5$WFtVU7q zpCl3*SA5~w-2HXou7{2ZhsWdGHU+YM_|;1-c8$koV7qS-bO1wNw~ntENrPH3n@y&R zjPg*4>M;4HDemN)^p)89UDA&W^xYKSt$sT0Xv9cH=6*jiI*Vsc1W@(%%PWb^#h#fC(^yh7#ykwVQa~FuhHGMB3b>~-C$xXxl z@%uao3a3qvt(6q+q zYBX|ehr4_C$vI6Ti{Y69`Hf)q0P5~UUp2d7aFV+72uB|8d!CI}s zJ{Rly9#PaaSU|aSE4vMU3Z-KwWY_ng5r9>rGt_ETb->eS6f`N@L z9KSBpr`@BQ8e|Rgoa&>HB@hj=>|Kf^cx3W|oMW^wTDjF|M?a*Nj%jt6Es!%`e#C7g=;~f8h?Jdbk?Cn#AhAf7p1-cKX zLXX(qWl^DDu=Ha4Lty@C9%QgUb4Xx;0Be$@96wr4MAMeaS2cuOj~t%yRi7Ll2h4y1 z1|ixq+)#eSWVJ+oD>$y%H&5HC(~?YnS~9Jwt}hB{3X9sRVjwL9Qi5w$s>kD*5{Ov- z`scpWJQPOqX#J>Qdzl<3$&1tX{?f{g?U(f-TIdF@$3I$2AI zlopt7Ncg=UO}V|79Yd23<%*QbufjK}RjN!v-`vH_E$|&j9CKI0Z5oHT8Ss;e zs%&4k%?EXdo7<0a1YcjZ);o6})eROeR>_rU=?q~fWft{lJ zfM_-k!8CTI8=bWb8FDQb*nZ?$&Z)}dw%?(&+mn@XN$25KuS1+Ov!0P2-gi>YL@$>X zU~w~Csy&2lV#Ek=Aew#a3O}nFw^m3V8OBwEgIS}jYo<;5d1+0Yh`}HCRc<^SmR&w7 z88TUEYqwd!^~nJ%Ow7Sfln^_wHW@S~6pLTzZ1fY1x#&C zmlG76IC6pjPme;E8Tf43*3yZtALxjX3+WSAT&OBTduMh@rvo0^AT|-~C~6QLs~>o~ zgyKk;m+V4BFCh+Sg1UEPKyd3v=5RQ~?JOG4O0_lf%FcKIjDi?Gr` zG5yJRF;Rr!h*s_X!G;ti`kRK)T-W)WoRz&Da$wU4XHkml6hwYP%bxDdJZg*bryN}^ zmto3JX<(E?3In6Qd9`i8@#IcR`>b~YKR$ZYj5c#cZ#{)Byk~}CSj+RCz~c586w}hy zt}v^)3r%yFDx4s2LXiP$rIMq>;*t0rT#+2wWub7g+qPI*t;B?&X`11nApkE(6y)e9 zg;$#UD%7uHceb9c5ShZ>BS)k8sLbz51w2+IOlJ3?l9 zvD0hGU?!d4V;O#v7oZxf?)#Bwk%CY8u{mfmm$0HbM#jPVL~;b}TEhI1{=nPwa_`kt zHJIcl9+Z1%aLm^Jbw4+jnq7-CZ`mg>F|ffib34%s@J-v^zxSG{tco;ZPSg$NFi|1j68Sb@b0etb?=$a=V=uG z{bDWqOIOEh?W^sI_rs0X@^lv{#s||BI@Z5fBK?8j`_q6SAfZI?*}%%dgicU~PS(cW z+Q5oVNPvKWmYz;d{?8+F@-hI3w~_#XBLI0urzB(GU^2 z#{HYq_6O+i|Ggjph6o^J(lasqS7rY_`Y($Awt?wy&X%5*=@A$S~=it96{hRgt zZ%Z>Xa&U0`x269a{1>Hvv&{Z&Y4-n{v;K4NUzGmMUi!DCS^mpw%|iDV1%J6+|4&~p z2EbM3VEZqt{yF$BO8?eW`rC75VEe!Lg#R4;7o~se`}}Qb03MKo0|4azFMi7Ow0{o% zi_*VoEIE5)6MF#3k>Z~uM@l*Xa?u=sS#_fj0MPwSC;@#iTU#p=>tB3O0DktL(0_o( z`Cp&f-}kMU|6^N*g+AcVpc@DU0|O(KoxdE608JmHCO{nnr#nts910Wz2w*!Cc?Bx{ zi~o9mwAKCxwlg-SKasfrA2tg+^Y0eu_GDxn;iIgjdG-s>cM+#$#nDq5=+&Boe8MV^S-4G&N6j ztdPFK#>lu7m$X0m)LVx5y}ggkB$CwOm;lkMLWIhV5rK2`df;33)a0RFgEum^CSN)Q zSr!GM>26v-PnX9*Bq31&2&Y{6(Gqia)elgjQOpC=iZhKlkJr>e?t}N(kZgSQ6|Nun zbsk)?rx<&%`P;JQ*oO>F;gdb$mg==%?CS)>o;-pmnEh+_BJYY^q-}C$gqxag$`Iz~ zTMoWc8*U&woFKm?sN>=}Tz$X$bTAkvST8&5tflM_K|Hx#d6C403&%Ig*fDvmK?8hQTIr}1XN--Ys5xy! z=eBYu)ZWsw-9oB<1ag?qZkK%)e*}}E@a5?$`kG4dJD0)v6^SJNngr~iZ28fFXmzwC z>aly7#|l)&xYTRlb)Ef7)YW|2{3=*@p3Vd;b;j0tc2aVjCx7bC)GeDa<})>#km!pV zzb@I&_7QF+9Om-eVM{~T0l~|RY2Qz&gR+@idv4UP!HR1Jl^XOZ^cYlsl~6ZM^o0+m`2DsYmmMX%oCDJ zX|aa1`nm=@*<*3&4l+Acy>T?bsKmS>F?lGe#eOa5kn4`^T&E?sux?&eW6Oq_s`XXk zb4{4K1yh%}`BopUE_^R(WZ>wK%3L02!dXxuO4~|y6$&Y-dIjY0VoIanI1rZ2!K=m5p|tqn_N! zUZP;q>Q03v749_NLZOEJ}(=E|3Sd$gL()pLh+1RXleo!Eqj9Cum6hgr5oa+^RRt|!B`$uQxi4tD$2;m?42>b&*b1@< zJHf(j`6ahiwEv)jmaUel*2pmIl8e*7Pt1=fs(flyb)SI;rsbY3?c@z+VEh z6e+uy733dPDclFxNkNVpQ}3 z(t-sel;*_VPlR7DH>%|>-&w-mTYqr$UKs7{r&DfAwMct=vF*{t z>(&R(>X3AOo$N&aw&`&kA%qO^)nVsBl2%|JX^CihQgfItF_=^wOcY^IQ^>SuoZjfV zM8W;De(#IZ9ia)tgT^At!%rJ$_4UwthUrS;%-Lw(pXfP0jMRCt<+J_4hxy z=q4C&4)CSR(AZp&FYuJ3bb#&tV0ZpihskL*G9_$=Kg9NycUsSO6KKkcG5s zW6z;`rh9r?9|So9QI~V((|ijsf=+GCR-8GMX3y~DPm>%J<p5*6_~{loh`5rek8k+ItPYh~Kw{JZrz;A3A~VFHkH7RdqYU>d?F_*% zufT*|$QWrzHRp<8Bsv?qP$Ip`QJ>}vb;k?k!?#EoX8QU-vmC$`^01fP&oTK;qF8`Z zGqUUJr4ow2O)~$UdxV==oFnZ18(e|eGb+PK9%ywZJ)pSL;Ka5Ma{YoYA2 z6#T`^V%|qRk7CiVB1U=%q2POmn9sGxH_(xzX7Ax2TN8`*aMgWMJewg}iGRvUHSoVQ zmW0WJFB5H_QS?2G6?d`;0@;e}^}E_7z_C%h(6JRd4duA4-keuSE_(=-^GlF|;(432;tO40q4|%#F>>p-KW5 z(ancDRBxbcEB79 zq3yy#<_`y99kC2OeMc?j^fY;!u%aFL9qhv!a|3cWUQe#5IQ!wG#GS7mjJu#09G8(ujk-H{NcaqIp3uJ{(rtBmUJQ z_IEM+i>wYn?$ZOzXhIUg0A@X4iy&Z4tq6!JuoX8kH#Kwog$w@^!R|;v!^p(+D<8tt zfq?lB_nP1@>^sdbSp2U;fOG-ChQeR(rt`;9Q3GppD>sTicfk*%ALb*8rO8k-G@hgby z*Y%PRR<;q75SB5p{TGm)PFM|aF#xms&j|n^@qY~YCj}lb^w$f1O_lNA6Q)zsVq()` zW1`n#WMbC(<<|p*qG++uv+6Li(QC0X{(5B9Vqs<1VPas^Vgfu5$iw>Mc_tPPEfx+A z9VQMIEmj6*z$3dBGYh>AGd+tIGY69nAPYqc5T2z2*ix#+M$fJT$e+>zY&O+lVPnw( zytmG8#=t*N`@aN_)~`Gk0!5vFDX-rI`rj)rz|4NvKH9M>VgB?8LFZm!Emm}rNEHpB zi0&YCcipYeA6PNlda;D+(K}xUQl|r9NiHtm<2gc&+^DR%{9NxlDs2M_8wR$2Y;=e= zZ&ftJhV|6Ddm?nSmg$fP+OohBI~Q0#QA`SWg4kiaf`oS*Ry9ZTrL!GqdHDA))M)w@ zpG~~#2Rnm6iU5J7Urb4z>K?-h$S;;M3)l>rqZ+>9WXB7IgrcJ7dHDO6u{osm zDyzED0WVY_GR?ft(TJAa=G@_rz_M|m_7&)ZqDqqHU;TuCyIb+!i|60&JN*4e_!r_C z$Pfq&#P%x#3O@)q1_M1aJ-r_VS&k=gg71fj4*>J5*8WGSznb)KHyi%G9v~8c?cd^= z@qb4GLE9>;VZKeYq_4#U1O!k^eC^&7z}snXBPSu9lu1GuLK*@#lSnp>zkrYs63pRF zt51NdA5%7|XUTEGS(#9;z{M=h%d}HgK2kpaa5GIuUOS5|smMO7;=WAXeqoKaf(aS~e^AhuS~Rt3i2qQg{% z5X~>1J3hGTR9Cdm>#bHV`r-rOOPyA;#Xsh6>0tMv&!#k!h^aVEsON@ut}Ma}vsW{4 zvzex`OlQPoq$|Z_Cnv=GxH*0WhdbHmaB$VvcP+y4I_yW+tHfKcGsO_p2!l7{kXY*1 zxJ6qh$-?(g$&ctD7At#KX1Z%cBusP{2>BRP4(ZLXH~P{pof>1??bc~yR(y{A9A3KR z@4sCZcIVMy?`SPHs43=H%EP&wg*C2Ear-9nqN^QxLr;D9P{!-XNL8zP(zOLJ7ws)Dd>c< zWZ|j~s@F$PQ>kzVV`FzoVNY8#O?{CTiqh4#Ci|0{)t02MM<2rRvMt>dv;_ldlFGx* z_)W)%D(zJo>q-j?N0yM2mNaQ42felQw6y4^2fdf)L95g%;u5Z^DJAmD$W>87=Z+AG zcWxGA6W(kZ0~5VLWVnj5+Be$GE97rxD`%!Xdef~qjT!4KKYMM~F=ow|ts@(C6biNC zcV%-E@$S4MhrE>MbZq+L@W-!y?OicMktr<0!4DL<3` zVdu{T7N<8urj6@7%WFR95XX`LiRBZpV5sivR?hAF!G!qvYQN9Gm_i)hixMPHf@?32 zO(>l4K_aC*uug7hyL>X)^9HQlAI%Lw`OW?q*79Qn{BWo9*v-X+=y!YMEzI!KM`oLZ@hz-iv zpPFRqPD)vAX7KrDijy$*qs^b8m^c|P0~jwTjX-0%eL z3bouVvb+blz63$*QS=G#Dlooy+Ps{?r~`{ZC*g-F&-Bn>l$u;Lz}g>%?@l*lL#X!_ z!7ijt&?%)Y&{=2)$XIeg85PdH&!_17`aq=`Qgnf;5Wy*9n2FKNTZ8tj?yqUE)tW&% z(M{QS9o6nfN|bc>+>UO-t!I?b(MzBj#ycA_NH5%BqCFZM0%iRPD<2omE}}kByx%HU zvb@h~qDT@af1@adG>adto%iB&gz`YEGXUpy;#;=4T%9aH2|o+r@Yfa#A#8x>d+&Q7 z2yC?2bLQSKy|I6!3}>bndC}=|v2R#tF;ycFoK%~m!2D8KBlQw%$@^8e1vq-xnVZPP zsAx5KyghmTSe;*ki_`T>`DflQkAz{j4_)73*2C=>gYU#@!dwK}I`t~Mzi4(d>0^&H zOd3#bXhZj5ugcXi$U*zPV(o|x?X_d~!(VvecJ)$C)GR0Td6^EFhsv2n@w!OTN`-J&Kf6KNS!uqlwt(P(G zntgl&bw7k|ehrTjI_aPnk>mU9#3g$LbF|NX+x%9s<;FeMFiC%PLciD3WOZ&XGKVMs z!)Jufi%Rd!+m_C!=3R93jqc0%yWQ#N{kIO59_Pn984%fO5!vFzjhVZj&C3_Cr-9Fl zx{p538#V~-ZPEI$W9rc1M~|=4?tBnjFfBn8Z_q~6VdI18!zT91DP)I5UEhC#PQF?B zCqtlGgH9d`SksyIY9Ptnw_IoSBP&M>KLx;ncGO8Iyl7AK0nJ&!B9C6 z!EemTo;eX@1JmCo{k#yZgq@_YMW_S9#u~fAzb>-2e(Xq7aN4Q@3MSD;c|0h3r{rh@ ze8U@EC8U8dtwr`)zWE?}RAE|`$sC__Ts-B#Bbj~11zjRuR79vrG0Dno??^mVb?hXs zDAWP#{?cE6HdPndCDVBsvBi&ji>?p)KJ((}iG|sEPrFx}Mf}QkOZM4=ufe7X&GII! zEfh#{wLC(s>NDT5MV=`6d;06q7a<-NE_LLUwfS9--sc?`X^(fx?hi3UE!}^$)cUQt zBJfX%$3*`xiT7*e_xBQyf$c9O9smeQ!w3jCV*o@w0z?f!mi$A-{cj5IKeXMi1>bMl z?(f)>zr@eqt2@B*@-NjL+izOwFT@=)$8X~9kGQEn`!@d)cYimX|CD#X@?rnK%DaCk z!vBf9188dYE zr?_wFh?FMmLY`4oXhXsSRj-J>$6t=oAJrfz<3oC^vbc!x{J^`AXvy;g>xVY;K>h z$$mm{L&R`}yn$gSC@<5hp)isRq%a3|QlYZ<{(jnJ+K#6)&lu=fFt@lJjg~YM(S*WJ zkQ#&PopGy~p~iwEj_8Rf$QnqAEDD{`j%1t}A$M_}i3B4Q;mtF=Z)&(i3N%|ORgv7} zex5~CdnmK>9d8Xi)!?qua%=7I{&^--ee)Wa;n7~LQv$XX$*)lKADmiZ0HNpqkNt$d z0#g6kb^d!v#KHlH4*f@%*{=*j`v0_@da8n}qp6|s>`&0?izE;gZ(k^(7NCnnit^W> z_u+w{2m=X-7>S%j788m_s#k+}&?)3isppYdM4Y8Xe&J_LYg|%Ci>!Qcd#6iZ^IWnq z?Yv)Kb=erpyxv=P00WW72K|As%0)=wI3IgsX|WezF-%;mWG^G9SoeVy=mb7uBrWdj zdggcmD7cKj<7Y&DLM(0Kt3A*HRRb@hkPJJ?JO)s6;HMQXVlJDjtJ(3HL>_U_%ZU-p zSftnbSEKIoJ&W=o+0=|rdYfoJ_4F#(1`3Uf*7y=l8vKDC$=FNmeLtX=@ws?v zehJdq?TE^ zZxMA4bAekH9bK@9C370WsBE+Ljgx*Ux%9GjnUc#V~S<2`#Q5A_yfl+;6RHTK(g(Y59%waa8eju%BuL{(HP&2?;v#Nya=u2y*#}SZw z3|>LgoY76CyC1LS1G_@~|qJ#$ zHP&J}fvgx)6eRr2SWtXELNLi~(Mg)lx=Y<+9R@c`U3^PHYB#n!f^w!QSR#oFL$-V@c zn6aRd2ll_RQ4^QdXqUD>`Jshp#0nk7pVkZ3K5X00WxgBps~nelTR3lz;#=$Pmtw2H zOISPnOhRqtrj&ZQbiG({9&6~{oqzTX!YyHv$GweMS>j%KeP%j>Fmw|EwE&Wx~? zq+0JbvQg62-(v0#?*fm=CgIYIQcKZbe@t*vr0Fcz(b%ri`{*JW8MeG&!B_P9EbUvW%6y+irnPE$#pJ@Cs>i-&dZV(|W7metr`fRj#AOTX$VOGIszkH& zdfa7&(^6-lwu-g73JNCF5>5)n*GX-RKAdKaZCT1r0WiEz2$O&Cbz zMk;3y;xQw{Y?vf6%GR!7*)&Z-qx2F;brDKKPR#!BnnYlB2cezf{o?t==-Ujy*fp41 z)yQIYiZuh-7pP}HJ4Thu$eZ&Z$IQZ2Ap|U8gg%1lBfQY#1`Z8Um-h=|`mwM_ zM_({ZY|*mTfV}s@yGp}ON~EcW>pFhpf*qhwJ6-aPlHMozOdo&Ep&MjhX_#@k+RxM8 zC#dU-O&wO@Elixq3x@l%pY!6gj%zL1iw6GqVZg#cIDcy{EYBrpI&sLCgAsi|@NFv- z${L0rZ-gM7C_$hOLx#?eZ0p!DR~Q5A8za*QefazubPr;GFNPpUjB)QFW1k&cge!KK zcy=7*)IK)))DrdKk7GM#<@%k5DgCb5qt%HvdCGS8w3U6{Xh!%8`tWMoLSK69z6>yY z=|$%bwB(LbTJ^<#fyln3;N6#4-Q##kOul5nyMXo_)Otu_t4WBriv|A~{(-fR!r0*h znLd?RE*TzS?5TW?#bLc+uq)Um&x9{HsEh`1e@5`r188NjAWHf-9xwWsD#B1Z^=>=Y zWTu)(k;TfJO&FJLs_4xR3x?%B#+74gVfDgj zBUY)Tfwkg$!yg^<`8a>T_gDp}-{@nwGa>meA!F=O0_E|D2j>7RW#^}57hhzTiN8hH{*~{Vx^u@Z;ZljY54!nh z!SoY(*!d>~c)86GQ^}<_NaVLlWVGnuIiW0_|5|;c7~v z65@!+OE4pbgv{yy1%_+7V+rML~Pn56^b~Jat zJ_LZ z*iScu0^32|frnmn03UkPIe*j{6y}pw#+BJCsH3Bkae8v{>R1z{>uvBYHWqQycWW2* z@@RTt^wZ^8w=o|)J~?=_Gfi$?o6QFH4<31V^A*R1=d9CLkG}H6t(Z0b1>t;f@WPn7NT$0ZCSUaObKY9RkEe0^|#py2!%I>Fj}4I#;aG-uS3Wo8+*1}!xjT#2~` znMUW*LNlo*%DGk}<$Ps4bw*`&EaD^OuS9 z)u!Fpkf{X4!`-hS!)DON%AjG&BjD2rwG<+6Q=#$Xa@^NDy{oIe@v~d-ve|D2_M3|} zpdk>{21j&I5Yy$AFFZlAn+vh{?}##r;ln=pRpk90*}dyWEFOmpH;iu#76bUu&-AHg zYoA<7WMW7^8B0vR)N=cOpwZC?{cIXlpg8!snMZe0+SXBzpxUOMf3_}mL79DX@S{SQo|kZv_O0=?m^dj|NTn|3q(CZ>rpO+G6dw4 zq;AulLNVv)%K#K2^xEG2kKud%{KV=DUrD+G;^6f^bzz$nUf%M_d|T@#q8HQ~6ceBl z;r`-p9f;uz=Na9TgkLav*#TW9X`n(ZM8eA#XMeL8^zN$A54Ij=qtDd$n1F-pTL=AM z=QvdJ;%D|8Iky@Uu@ls73(`@#7i^aWN@sdpqNCpssiFG;3}H+UWNbkSOH~2g{FEBe z71guea!@Js+}jijj}BJGgL_5@d#H}g<)vH820Ge!2jNFq8ML@F1rg%ouAi%g{+0Ni z(;W*;xMBe>$MD7?A79t|Z0JOQ3~duBr(8FUwcX^+O;*CxoB^|QbHr{u*w1`G5Ke$d zFGr%YtWh%733JDYdj5K6o)Nd<v=gkmhP9OD$zizgq01?|IIquwIjV z@ZyM$V?3wGI-dC6L=HcFTk;i_jH4PxyP zw~zt(zR_4#_2`PqzIgr8jDg4XJXS&Ky8Hstb;E;3V(tX$^}G{!xqYSuzlq{p+ju3M zXn~noq*FcmcM_spX>Wm4k`*(L7|_0$9X*t5NEK3AMN8=M?1Jh+)r!A5+|iYR)*FmIt|DW zmL}V`QvPdp*7Ix4INU_HCRu}JahBaGr}y1!WcGA2TW>mwt{~V;BalxBYdl1#iR4ch z{SQ%@SbXvrDxSLSW)IaN=aezMhB3F*Y_Yl)vb&=H!?V$%O*|(b~@o2TQY~STOZkSEze@D zY!DOrhb|n=r)PK{pX%qJsb}i7h$zg0%O{E|%7uU&9iW61%}|xF;8NsCfFEUGPK>12 zZ+>}Q^>+E3&+|P!g?;cMB3=oQoJl=P{WhkYr^?O4*2z{LE=DG#-6K?X3EH8nnnrv; zy|YH({>e^TSv|9nS?sH*l>_12bQ~2k8|?B@y^*1-Yj5o5Q-2lCFJ##pOC?HFN|L*U zxHHI-Chi?bjGG^(NC}ZW9#4?+h5aQwPa^49aP6rwQ%uY`KXHG%!~}Qr@l807sfGOcaxJ!`lICOd4K8}OE=H@kf_)}`~W8@r$hz5DW#YbW%xvp*pn zb-yVnH%2+8QIE{Win~cvpfji=y+}ck2Ddf{?2O{6>LkI3m){alUMA)KBmr$tTx-!XEwooBvAzdjDAp-i81{DV5pkXm6plifH>-muOPr>+3@UrX= zKuW~;wRiMU1g%A|u1R`AP=Z}~@X&%EPoN|HVhEZB2qPloU-Ozql%t#mda@=G{D)QaNTR^?-Pz_eaWGVT79-x#%uP0yyZ%T%br^pbxgr- zZATkGH(7qRl3Alwi-2V)jA zLsJ`O94Orlbk!1h7IOXY4$f$}t)$BvD@*?1MRh;GI~z%7!jwvF@%`Keu@$pa#Jn5u zL#<^@iFKjd^VWSvenYz1{Krk{k==<7_Xb| zOBR<(v+XWaY5%*ZOQp{D1yJ};9S088v*97fA|}-1jwNxNxs0mAHT=8bK+yq$H^N0#M0g+Q2JieM*3p#T**-Q;vWn zN+i6z5dsXzHZfKtkv@oznLGm(h)+%&KbGM%0)h|$7--eTSd>W<{2~$o!=?A*T?U84 z-q={`;aI(?!9z|D1A{+!V3oAlV#iQh8zG^+=I-Zc4+j;2{CApr?#eWXZJOJ9X{^Kd zB5Gakp_Nx25CV<{&Nx(NbVE`*4Ovy))euo+@>fzF;* zp=+_UPlk>?mf&R+)>JCdIE*T*uR2W6o6$vvOgJOI^J zj-K8uMS&1Y+4|aN>uvct_%en?ezt?444WQQ57SXF#JwGz zug{Idi)yRz&>-7o*xOg>g4vQwp_wG?<;2wI>g8B<`-}m$bSf>$vLQV--RORVYX?y) zw1yIe8$pWb1gJT~ki19PbukS&xV^8vjgp# zLfGehoLliD;F9dZlm6PfZ=Ep_gghhBRlp|4J*~9V*(hrd_b=#^CW`D4JP`1drHf>p z(Trj{hxUY%0B`O$o}SV`F@;@aCU`rVCl;-mG201sH-WpT{Z!w2?AZ;RL8F+@pW1F4 z0XGehEZO%hrHRjpmHM&v&amf$#W@mBM}Me|iQr#im}t`)ZOXGFR$M>RDm0hEkcV}Sm2lDnl2psQ2zPLy6dTggz17ju zm|N%AAh1|%E`pYyqQ{(;NzE6fmaz}-L@!paqQ{AVpWcF(j}KB-t1QxH}v$SuW-9^~PI=ecoJiHiFP2zC=jUTB9?u?P4c6w4Fjwkcas zqpx(S7BVEYD3dN$)yFPfcSVd3-h4!T*295!AsYGoK--}uZ@pV0m_4W_zYa1?xg#Ky>rYy#PV84@x)_-Rods?GhSa5FU^%#Cd)q8nxv; zBrb{%A40K57_u;k@_no6X&#v3488-v+xO8gYCjbg!eJ!{KhqjzQg$mTOkycDUvqmdquZrGj zDnQRgLCU0}`upu+wy9%Jolo-=X=`|(Xp>nLeXERD`jpNrJT?!^+*b7JFh|`!3Jm2{ zB>(|zQK}f`C8d_=VaaJKN?R%)$x^(@oNS1BgfJ*Y4f{QmeJsCUOap{YRjDlh?3;~B zxVC_0$(O`Pm4s2VG69;=VNOllJku)lCi8P}J3RBZk4N*KW z{tOARM@xbtQ9=xsmcv-5O#w-sPbx=m_{PUjJj)Nx1K5+g_6(RDDdXXtAA~-7zTi=E zJ}E{OWU#PQNM>4kaCNL`h3|51>()l0(J^h$ER`GRZXaAi_?Uw3@W2J$X!mCHt=Z~O zzk9prE<~rZZg_86z5MV#!w2|}F3v~N|LSJ@@7vjaZ;Jhm)A3(zFeb)7T3!FJI{p`Z zus`nd|JDZ+5))KYm;86?1Yoa|la+z}A4UFu?t}f0TzkxHzwKY*V50wz?NfkugR+Vm z+S+qF-6BG4A%E8SyBwlCe`&6Q7{5pjQX~xoRTxxQSeOnXR}w<*K$uB2IyEGgOazNX z?!ZAG$!CyM39``CP!nl}tNk~+_|)>TwEOvv`%H%~EB9G-lfXdC-P~p&2boxS8n!mG z0tFl;l20KS5!y4`n@b;FKkR?ct1F6r`l2F;Cx2fWS69c#B*Bm&UmH|hjlA5_igA-j zTSikf-;%y0IZA!*-YtzIH_g^|vwtmFw2IocRWKt)h@RG@F5n(=c!}Fsthq1+PeKSS z?za~(XsHlzDD5|#`{?7}FLXZx%OeawV|44Z zM}3*IdI$3wCFxW&wYPSGLQOpio7|_LF&`~Fy?6RVjhfi9M%&%~meQJ)gIH`X@w7px zwxPQwjqCmLYZ@!dYl8D}-7{R7*mz_bcxi?Amxl40@y6XH``L68Y!hsSZ6%sHthaNe zwF6xx50A+WUA0%+DkBw{x!uy1qw(_PLguzo9Ig^7`&kW@Lls~uITcmqs4^T~4vgZH za>=HVyTneHY#^7Bh$FBCte4fFVnteT(ZIBeJW#&Pj6?Ef^o$SOzquirZ)h%i2 z(X9&__k7M(K23);uq<<0cQh)jlqXuO)bnTtI;*sOTbn=Qa92(XQ^7$=_h$1qPtDUc zRAiSHDNO9DoAl7ND8TG$kQAHh*MpIWyBu-(NTU51+XREchl>3f(*9wd9+S%4Fg6sb zC29|XUy6hPl4lD2I|EW)Ot=If5NR~zd$$gUd``*{TU-xHZj8myob!y96b8T6B zP8B)m*rsW|wI3{Y*^F3IzSK)0Q)WHqr$gM>y#&}}d+J^BZW?{ZH0=Y7t%LW@{Coxh zz#Tgq)f6#9k$8b4T1FD7cOxk43Ft#{M#&VG!*&?skZxp8Bxn*78%<3Sq$sQb1_q`_RD(Ciy-t29YqJpIGBwY;vXbp)K~yKKoc+ z1z~!S8tb~N9u0i~By~Eq4@TJSkx3e$4p<|79705;=wBLh=j;@t0`kT6F} zE2Akg`|)UKf8nat)_XexkAogW=aNM|_5kLKBxy;^2&#b*fEy;@STBUvpM|Cu)uj)o zr_|c(@Y#?B?u**39$J_-48u}rTXbJL`iOj(4o}#QSW>6=;EuHT9-X)jrq(C!Kt1>a z;0k!ApDjucRS#Yh496qIa3khZBgEdS7r%NWn0jDC6WGT_oPj2Iyc-Sm9q85(uEs9M zO9IMEB&jXtiLHs&u$G4qydBf^?hU8+l*y5yoMF6v(>OWNJs?S87}&usPC-A+BAqD` zBq<#Z`>k5Lz%R2l!RNamXaw}0-_p+FM~qz&f?YCj;D?JSj|MxX+g644EtXyPV4M#q z;11|m^vX*1qtf&->~GPw((KttzZ*m%({8V}8=()an;__k}&59*i+LSVBE)Ox?$xs#A4*05@S1ie-z8 zMUQ7;8-Jsd-a-dM(&nSZHK6>)+^rw>!O!rDd?F_XuMb*DC zeO_HkHPU=q?RAZ)xU@(8&?)Q#BVbO#6pTOz)eoQ-2@g{U6Phd*NKG^rnRZwfNi8}n zlvqBhjh&qrNy()@0#q=+nV)Ox*t;zkM=NHy*mkF@Q0;=cdtdfo&!74W&#ck&P) zkOJzNdkn^eLS36I%x(X0DQAjGZEmX89E8B*e0muB(y-QEFW1YNZBDf;si2BU^9p*J zx2Xa_+hgX|=(&)v_M*B*HVfaOzMxlm2zDxe`E7!mzl{HWFk86g8QSewPG7bb#Y9s| zs-n+yIxwJ`g9AcM6@uf7IR%ZH24_y+*9G|`W-bfr&@v~w<&KUD$3kW%V>(7d)hD0F zv%AX6bLuX+eQbN0TikuZ;3lVAZ=|ZRW$Xe%9)YnyQlinYYRB`b+W2m1%(A%tz&_Vo zRQDL-4<}#)>l)8f(b1)j>-Cpgo6y0J+D!S~n-?lN*Nc54luTC6d7M;mZEEP47KWkf zk+%?dBcC|#%~!eVV_u3KPVpAes*riMr8*@U`xm-%FjFO%O0GzB939Rr*tloe7N2h` zKWf)OaN>5%9Y>bq9ecRc{+Rl@le2OROxbKt>^6m@sW*scs3mxvQ`)UY#O*giV*IN~iY^Vu=XpmaE3D+If%moWa$R&F| z=fRbr4aH4kC1x$sOZ#!H{vXt-1Y){|%-N1%h%rd$DQcYeA0@`&{l|Ttfm4WJqB+)* zo!VK-ghdtR7=MBaf_F?ts&2=0SsaSZlm5#a)f$Q z$wNmf0fU2Z!Ui5H2XOQsd#!>5{5rf6DtStmC}}Ti(VD6{JWtIHBKHtj?Xp?F`RRtf zk24N^yFbrS`#KcD_F<0So4dyyp(c8BxWBnsh#6abex6aTcHp~+;S@In(dSFyD;TI$ zuIUn5&K7!e%U2J*`x;to+|fm_)Mpk19FB<`Z8}TvD`M{n#R==TGA)|s@4)n|^$N5~ zJ@8SA*Cu+y6WdQZFO4-YcGwNj|@ae4|~l>`H@T;AYGl6t!78+?Q7)n%dmX7 zdr)P~t~onmc_L7g-?v8TMyJdr4D@5YzUx_;90UByjLq3hev^wW<8+PgExG&E5QK0Y z<(jcb6dxmY-qL#WQE-w-=rcLt7#KhzH8{FtK$h#8RqZlEr=Ie?4!MGc@%L<#)|gQj zxC|AebXmOt2hXJC_?Gr@wvEIcv*R!T$>~fga*iBTfSK#_EV>4xEyD9+nx4oN4cUEz zbL=cm=EkJgHbxsR|53R&$nH2vvbjNtfCWOSJ`H7*QiaeDhF;u)CADrJm(5r%BajLU z)&}#Hkf)1_CQtvU+*Vm9lm4a_{(0I+@)q8hTNb3Nj_c|y(Lokmr`VC4YI0)rkLOL& zi^&iClt;Oz#4trM!oD&DTg#>g!=YOwcjP~Kq-3-{u&2ZD2!O0h%%9k#fD$N>0k%E`H)2!u z_zE#!Hx%1t-ZIg3k=&0tA?hsQlQ-DkO9qla@dP6ZCNfW7heSeRxU=F+y%!>PdGLEA zro;r5WQ6KV=(KKLniw8mUW>y6Y9;+?yG(ZpRX+MQfblqKh=FMkQwoTF_!Z8WI ze8>D@mCB9rJ1bPi13pO&Q556{WI%Fu7#ju-z*GGluV$p_a*#Fm!UPMM3dIYj8}7Ic z?5;#7ckXlWCDP&%$gs9AAkaPW*t#P55pih=gJO?zFw(xXtJSAVEaREs*`ECsy;bBW z<|{Uo`7{a7E+IvfdZDWqYNgI2lLPG9ICs9{9nSJlf?RrST61Lsm|0N$4U_yxcO_|9 z3Q{dVr%Y8D`!f4w;xd4Kr#0lxC$KQiJr-u^T^x@OM=jXVI|k8IW191BlRJ*VK5edK zC%pK|vbZ6K8M{+qcn%SoJF%!>%TLtT$cRsAUhod4+-Fb91(B5>8+jHH$Z>7q1;sPv z&YwS8k)p)(%jC+d5(~5Ob8$3+(i+*OfrrHDIdTslC=M61CMFFB ze>^#;F)tf|6BOPBwmaYaV7xf1WIc%v?Sc%@yLzM9rh~LG>Qea@<}0>%5aoDIYUgNT zFV1{_{{Hr)Z>Z-FsC#ci0;=7vqe$Cx->%-qmor5Ei*}-LANhhPHd_tC3}K^5&BDTuVCvhASvA~Q3kM=mP_?ePrdcNl@;b30_Jz}>!bT2 zc>MP)u&9~oWvuTJ=ZnmZD%`kUv)@;Nl@+k|5|meifgUc!AzM9D6ds+F*l$K%R@ z4c$44MreBQ+`jBd15Wic)wFV$w`}CQQ)Gv0s*$yk{c!Qlpqv4h*Kp zaW>aplWD=pz`(8fa!|Uc)db@B(f@4i@3#Y!|44{u0-kyPi?u(7zn^mcm$g4uI$#bw1MrkH11lRa2cGFK zPC5Uc0}uGQ;`ZCx-=8YZ{`&-YmcK}Vr)T>28SucP!v8$p4EXwil$a_10T2z?}wqx!p!ikWrR!Zs`M6^nUe~3yee@QSy4JNm0AfO{YT|Mc1Z1H z)7}|lfJmguLII!=KN}Iulpn!?QK!?r$dLJ6n#@S1ypzqG!RRFL>#GX)L zbMVShq9^bA!I%#nS~Zr`yqLkhx?%}DD|dk;SR2`X^w$$m4FRg$p&5g32b(3|#dhZo zQlZNtM4b^ji#rJpFzV41E}HT1?vL-4r0|>Pl~IDc?L$auAiNdtWrz-k7|alm)HN^? zJZZ+dkRnRHI~X4l6DI>?tz{(IbGt*z#{aW;hmI2 zDA9o%9bUgZ?q2F6u7_902<_#4ZPqgO8Tnb@vQ%9|T}{H)J3Dd5)=kze*1g7~2i;q< zXc{4yK&xO*8H!)87)U z^9Fg2-e3DZa}q#M%azReEDN2HoHaU(gG3ld&5j%K|tQ{5q_T1u#F&3l#5`k z@PVDG2cGSV0os140jYk+UXC4{H&cG`d@0w{V4 z20UqYy&gngmmH=)GPkF9j5=PXKciWNcYty|D>Qa#`KG#|t!}qGhCY8x5gvw`-@qZqS;P`9{P$kIZe-ABdF3gMcC#{Bj$oOWO<)td!ql<2e%Je zJsORv7dw`lnyZ19o2iW%bv1cTA1b!&PrD*7A*>%sJ$xE26_g({&4$I8NbQ6VnY27M&fuHS|4oJPj_YI<8)x6IUlZ`@9IW`iP#5 z+fypGaNMY$NH@AkD}&9D)Y6ziar4x!>Dm@l->HprD(jikA9V<0D>Q6-IqLek$juJ6 zsKqWI(~{~1>*Wqfjy}1xxjt0gNxu4UKq*6^Z6PwK&UoQA3T(h==eX|sJc8(1=<&O2 zoTXV#cvOE;w4W32m^dj)+xp6V7927#QXrYkX}sMy9aGgO_!zmj7cr$VKE*~=ATERN{a?h`=Pmp^JFOHCySVHxyrh-B7Z4}BU zf*T_kcxlMIRL&LLYrA`w<|nQxQi@DI^DJ2B{*q84){gPaZ>SZxh}8q?6F^DMujFtf zT}Qc4@8kI>-KIA%BEI|hA+^i|I@w8`L~Rou;>Jjh*r^V=aZQIm&P=LTx@kGHfSpL! zQK!$MnMBvw2TYhcvV-*r&N0Z{r;uJIksG84zhjUKaRA3*4nEJ`nHm!|n?#`+HJVc6 zgM}aBN5R@_H@X#OD3L}z)KsZF7k!uBs(^Z=nL#D5VtV{^U)0n=A}Urcfi|_mJ22&1 z&Ql1T2QY4`U&%~}aVDO}lKi&#B7b5uTLJ3{K!?W>abP;?&KGWBWXMLsi^np77qupS zY>{v{+Q5#g*G3-!E6RB~G2Ojv1`p70@<4hQ9pEuze}K}$Sh7)YS2D_LkhLxCpDw8o zAR)XuDd2Nj%;;hOB5}vRNkb0z8C5=E$2}q1Ha@RpVj2&3wy1##UP#`gX^y%%lA@iQ zxFsb>Lrg)>E_Jk3&+&Nbl#0HNSo=E+pTgQSO)g1fh=2?jSP%;Zu?eI9C`X%IWIFTU z$S|s$y=cz$gPj)Lw-dMnY0yvp3D7gW3u47+@k+}$k z5943f6e``$-~%vXU}A|lV}3;EW$On|JeT{?7AM`=t1StZxp~;)t4ppN+V{(liS;*s zgnF_Z(FT3nN^wPmtE>N&)}*#}XzG(Itb2}mcO^$22QN8j^Ax5uN}dU7?nHAF?aNiQPb>aIYHd8-P=H;HXC zGDFB;{O`Q`;u$5Hv`SqD)z=JBWlA(>5EX{L$@Agw&LN|$t;toN?zd&3=ge?XoAqME z(ob15s8tC@^9y`CPAFX0mQPx@yXQ32D!3aCz$`T`rJ~6;t*llX2)AFeSY4#sU^U6{ zjKabS=Z8!FsEl!l%g?-1_{J(#HNO^^KA813zUb@Qk|Rr=V~9hBvXmmay?r96SA*7N zz0}t7ho%k3jzAoas`m$TRA37vRH4dH5QII2)D5x934(weDjg*LsA?!`J{7?ns!VWu za*YxyKC{AMm}~S9L{DC$9DslfQ|~;Dd?7y+L0lU}KRO}5T3t4CYk%x!t$O=d| z;2U1Z^z*02IQz~eR-g9z)^xBvUef_n4mGx=!nfsJc(@Q%1zY)xGjzRhVGnw=xx&}F z#t9!)zS`}Pj!^p*k|8CnLvC1NAYp@S7zY!QB=XQJT&W)7ofavgWG?VED0MZ4Hd;Se zmrM?2)8>Q9vH&z{++`brOcrMQd;AHbp$2-cn&$+ktZH%XWM02NbKs~>bnEDu)o1bl z2(Ns{E!06Dtl)DiPEibQuNGyzySGK4uL91l$@{6SiV1!8296UMh8#nxANGA6lMWI> z%Ld<}H<@=w^PCKh7Sad45>?~lYLAQVwzhZAv@T4xU2M(W5$)v5X>$F$r!~ux);FDU z?h$>o<>#kittM`~B=U(AH6bCxx7w8eJ{=P?c(IX7tnjiQgrf6)MtYkRd-Z|s-s)w|V!NF4^jQVrA+4u+fvw3i3mi2qj^hhf?Nl>2 zQZmQsvaWbn0;p;R#XV8=n06FdDOSF*|Fs?E#=L+0jX`%E;TGF_4?mnxxT$&noc_3* z>F&sQq`*4O65hDsFNWVYyHUwH@F}#Wg^c^DY4ZqmvZuX_6COe^)sT|F z!^>QvL-odV5B$ksDvDkSWs$XT-w9>_!a2sV#-Z9RH`6b%+i6ENG8*wU?I38yO+4*9 z&Yz`QeG>T#Sa-3lJnn`Ho>3pdc9PwlAUGO|g68wTMsaJ=?;W6_2>A5Ms3XEGg_jYu zy-5wk@Q))?!yY!1avS?@Z(5>DPehnQFQnasa(@r^qxMM{Y&q9<=FzajRz>~2hfHaz z#>T~IBPV0aWf8qYs$M4MvTNpAcCma`Fk~WP{lqkh?&ss~IS~q$E%SNQ@Z!%QCXC|- z2ZBxD01Ci>wL95)2^>OXGypM}GvlE7D)4ZJQ?Wu?hFjk0`P#Bw?Q%|P@Sq(re>xAN z?{)ohq^Yyp_|=QctBRZDz&U}d(kf+;hYroka69FnSmFVvzh%jgg2<}v1RyWC~TG0QT`x#s<8q{D%wAhTd5*JKbTkme9` zuAE~#&NLO#L@yM{^AyW^w?xH`(~UBcYyEKHBbvaJVo%<+eFn@0N_tP$6&*A0){OqL z1>;rDx69sF`2#FD6}}FGvGV2+howlu$*H}~PT?Yub}`4bI+%WAAeW8ev1Kg!2Ya#efxJvXB!p?jF>81Rj5juN8L)y6;N;kbrwcCq=6t) zmUgxO#x+4?c>FlMGTyqj2(>o)9u%9QpY&L_wXf(DuxsuDPU6)5A+y4%7H82?w$byQ z%E!(v*geR^ar!{K_R;o9${No%Qk_b5Y&=vXi}s)EwnF=Q+qE+=h&mA(J#kf5Iw>`H zs7N0+(K=tD9w;-_U_2)fUInrBEM6ydb+yQ}5E@+-+O>wAFR)=FcY+~Y@^bK=xf&j!r%46}7x7hk`v zDu#OO*5hn#E>}-|fe}x%F%4-hGhM{9iMr|BY2o@oJuWsL zK47y;bucDdevQukGR!u9?=9>+(bN!kf(<5o*ua)yJ8HYScXvBkZ=7N!BJZ`a zt%@%dRHlpW%q4+0EaURN!*cLFK6$%L~NqUVE2jUrGir)g^pJCfx1s~jgV19j=L>|HJw5Ch8}NMWp=2-*~cJG zVV#R?%s!Z1WRu>dzGEmhjxtck+dauG;>y;_xVJgfn+>i-V`S7Xu}&P^^@taCEW*}v znSFSxFCjl>nzAzab=1SC-Tw~fxEB*7p@eO&JnY`cp-Xf9Msssyv6!6?IznY6D~fuJ z{uujnr^h;_o&w1EmVqMZji$ouP+=awdpL7 zI&QT+^iE-OfMI@4Aoc9i<^Cy{LL?%X5D8r?1YCnO$w3ucWD<}nzG!vld(T6RGg9jm z2ioymQ|36zQgG7#&89)EH7`qzaBzI^#CAuq`yd-?WTTe80(l|@vlY~M> z?8tQ$yVRT2Vz0Fi$5b(9zQx8IU4h<8Z1Z>5I^>>7c&t0-kq=ZTvGU`&=6ShEnKtf| zBu$_P4skAf?8kFV%LeP2FF%M)kk29AEGN19aX^S6t@j_&E!@%0uFgTm6vg{2mv^7| znG{x_+08mPuuCeP5yrFOGsE3Ty}x>&VX@JMJps(#A~LEEtQh-Sr!?OREj}OqsFb*3 zoYj4P`vaT+QsE_**uiPm@1_D1<&8Ob*|zQU4$~sfRXW8$9pibA$YpvKWYupp$#=>hjYh@PqJ2EhRTw>>RtxKgNyi!rgahGJVO0C7$2K?j^$yAL1#ZBT-kz5+WvPY#I_C;;-5$fO@`|@k=a>69fcT>_*_#cHG5lz6n|BsZM zqq=6?D;bZA2oaV`LXrMn)ZbapQ3AjCme>WnWVj=?qF@JBsQcj(-mn|G>89yu4RFW% z_@dun3Zhfaa>4T~SbEo7Jh`8t_pau9eF)n+(GB_j;?w3XtTX7`jIG=@aiTYO2Aa9(I_%W#R6tkI&cl*5^UiF$W&*vg02Nk`%QBGk zlgM(}hQF3a1S8MqA)J@a6-HLq_sTbDNlK_s(YSa7PVHg37tP`mzC6&eYtcJ8CcUZdh$T9in zmWa!8iY@Nfch8iUVwtX)meTW`Bb&~X$m7<*dPMUT>m^9jgw!C~_gvWkf4gtqx4uxJ zpRT-tJfo39Cb@t7dsQ=;ZbN_Xp@) z;_$DZibx^xBOj{YE#2-RhEH+cBl8+b(zsuY_-KA`Z(kLVf|*HVn&oK6_IfU&J&Ef0 zwuh*J+HtqS)}oy;t~|{En=j5`3tOI^N-;=T-SBaKCYY*T3)dlSRa^+yW|eJ#3AR4= zLNuI2DE0*>$2Qq*c+@o=+OU7w0tbyaG51VF4pn_-cr@jl{7CA65^x?ME)HNY}f5=H=R*HF#7ktuqI7$#%KiiB?G?w4C_DxUKJcIboUDpP7N zsbW>7vM5(dUK{t572t%lcuS)&zCZ_B=}2{O#`Nx1Tc&IuV~$E_ebdpZ>amY#8n|Jb zEez1BPz=Y#u?UWcP@dYY{NTP<7c_;sVR*W(5FP+JR~T^@z11dTstkv~iL~~?a~W!T zh8H`-l&}wGaYL`RH-qUbvJMe40@ldZoRyrEau6%VyYxJR z@8O_G$QSiNnPkC{O8s&;IQ)6i_GX2PZnpw5X_WPOtUM3e8msBuG(7Jyk?|}HWJ}xz@W=hx;{R{N zga26kXJ-3t@t=hr@EgS`P)Xwtiqn5t{AZ?T1FrtF{p2_Wz5=pvu>A`I&QJMo{Ga;Y z|FZi32hq;Y_5EM$rhh6G{vWRX16cpb75XRqH%x%vSO0(G1LC(dv$RoTpkn|swF0>o znOT7n1x$>KohX=mN=9f9bVI+ZZ?)8#w;`KgJezzz_bqBX4YH zWSen(bdIp}Brtjr8R zk{eondf;t3=AVDSwxa<6-~G9TLIdPE1-=9p($ZYV*y87Be(J;kd%)g8&(7G=;wO13 zJ_D`f&v$?O;h!?-f9L(Nw71Z=Rr{}x5VX*<)HnExM*>aZZ@*v*e6;+QmNvjL{4D+7YVh;=Cq;6y19HWv z{cPc1&HT@L;xqlMk}R#9k&Zr4G2@SF|5oAO2xUb6=pnJc6-bU&-Ueu%RysBY7JANq z*p9G`rM=Z}-~KH_o?q^79<`lzCY}Nj)oQRUkmiFHu3k)`e!%%D(Ju1#;<)oe5PM)QNNqW@7VL- znaHoE{=cx1KVavtY~(jE_~T5&FBkyYrk^Gw`?ozqASyD@O6b_x7(4y!?_bUKo1On*>#_#6mi9J!2DY4>|I~c~z803F z!~dl!CCknIck>3mEoVfB&-9B(j2rj|)Pd2p`-9Ti#{BoaNw`4{m>ym@@J`JFC$ykv z#f&)%ET|%1tb3YMQo+j?f^vxckG%vVu5C@d;h5t4*p(`nGSsa*Tc6CC_6Ofhl&9rT zfy$ISlJ-oN=EYDobpD7x2r%FZKIt{>5&i)bgej&+4f&JM!u%Vu3F9;UjrfaJ+}_;S z!qV2*?r(nfQ|{`YZpQo@D*c7(4zTt9t>6y+uOF8E!;1x-?1bg)fIaiq>RHUcA=Mu) z#K6eJ!1fb)S$;w*5H@I7>FHU15_kXMT7P~2e+I!nAN~J=;Qu3+`&B1d{68+gxg7Iv zDE8^UbGiQ`RQ^@VzeHu`-=Of9#{pP@ZNLg7(*rOw(E!T}+~TJJYKH;Iy?{5Eehw`D z(%*hI=Rf=n7(V}3{`Sif|Es_KYC+)J|Hj|Mqqr>iKEe4tarx6C>Q#6)grnpMp=1?6 zfeY}hY7Mudg~%tge;W@wpcnNMBrST}4$FKR+{4z%T@E#;SaZ-%!AdEuBI9UcdQ-e) z0?KPRX3p;%KW*TIwv%k9tFoH4W6r6@GFuw6`!H`c*My%&J6Y$wTexVCOpjV5e9bq% z5|NEKHKU?^VVc_R?V~NXS+4r6fj;`dhbT-;$AY{x{Yj&bJjlqKbH=!$#|;c|6zySS z!oY26!U-fxQG^%K#32Tlytj&BhG;JGmy-UDP3;t&#>UPF!eu=y+4OLfA^FBb?gNW>i+St|24$_ zD%n3wj*b3*WpV#G!ix{n!+6ml3QT!O(WB8Vh3O!xeJbtiU+)H>ZohmwE9J&pH;Cxj zZf$Q0mnFMq--U_EiV;O_etnivgr;zJz>DJE%^vi7skP=;Pu;OdVy3!-qI*+Hgc|W@ zUHMyx6vqG6nn36NZ(yC}w^;BW!}=c)pTY63VV&i-Nb(1;urSilu>vI+0L(z` zH2|>aEHrGuVJZ;XnP`|;{|&G({~EdcJ&-f7GXJl-`Bz)~N5G;V-D1&02Pf$0?(dNq z_W6pS96V(FQ-J<`6r6-N{wu=mv}3>h%=yi5%Zhwk?B8CeKPaGBpOrI-Xex2KH~nND}aNGkfkEVP(Nnngk2=V zLGLH0C&Ec%|$X_9d&*8jaAQzUIp8^_>faY~%4GSzYfl4D1FoOB2KA27Rla<(wC=iCIX}CZMkrW0oKSmh zzSZNX=K&sS-RRXP_!CQI`7N;j&q0Uf_u%|f$%BcNo`wPVOlEp|;FOF7cx9$xWC7qa z0qu$&C>inBf$3L4{(%xemdgK?{rnv!{!Iiz8L5H?8p_+wuX4|zx}QaJ3!&8^F}l3c zyJ=!h5d^xyw4S&8`!M}l?w5)JE<_^FNLW2@z4^YN5Oh&9AQi7NU_VKOT3~V#OP5wl zb`%!Y!1EUk&6!j0m*(gNkDmxyvLA|g0vjG{9KG?+8veIY#(yw7V)<=I@E2eKoF+2@ zl^5Cm?LZJHhw`T)s{i=%e^p*&`Cr8;mfzykFUVm608Ius2m^B1(y#%?FiZeO8s?u@ zM&K2wLh^4+=3gNPxCZloF?aYkCW9?4W+Ffjw{n2e-f8K2&d>i?XWHpa-PuY(c)-~UVrO_M|gJ)Oi%*3DW-9p^ng3-Uzh78)Wgbv@V z`xX|wA`|SjyV~L{b`q#a3;Tcz6KPH)HifjHS5+-{NCr4=OmC686o5!;+3C6}^v=ud z=B+`A{$zj~4}ssyUA4Bu#y!yS2J8)F2H)_XndWcN|3AQl-(ue%9n1g#4pxC3%D@7Y z@M8sDS!w8iM#RKGPs0k7o%uH%{A=F!_n1P@^8Y&~03s#I`RJki4>Z8B8V9x1l)d$K zkS(%_Aiv*bw9a78_*o4PfcW+K_!(vd6$xb+wy0n5O?2Fml61)(&#N#fF)XrI-pnaN zM<0l@#}UQE3G<;B0J9vZ*?kn^#sj35rX8p$6EAr+n{I;In~s}TOl*DM9fW*;WRucL zv&q7!;e_`c+ajp!#sTxPf`cS2?W|n9Rk&Cq$ZbzdKbx{R(*iD1-d59(|4F9-bBq6V z$i@VOU`C*>5)%sx4GS9+J`)pwhMArfAHc>$0|5M6DE`+uIX!Sd@PFW0zzI4%{x8`2 z8P}DibWIHO?0^$=A#*zXU!VZYayAEk;@1e~-_9M}l*G!3o8VVF;;udc?MG<%s}0pN+St_w;79!lES1L61wE%RE?Czw?JS8v<0_MJ)xfFIVI%V%DKVwA{mO2oH42Ef)>umnJB!^(HFk+a zTjp?X(EeQ|=37)Th$6OwV|J$XO9xsON(NAP9~H?52)XoN^;uLU^kChWaMhjZ-bk?NSA7+>40FU?EqWJ&!a-a zx3d8(#@LW(Hk+*7qr2tn>u;zt6=TK*_$%VTB$lql5PP-yKlDZ-47X^P-I1k(tL@R#npDO$(p?+F5zFI9wmy3 z%(~S=wS14PG4qAX85KVx9dPw!&RIR-P+`CKWI15E1w-RBJNe0nIl6Dl zT-NlzEis=A4Pxy3e`61glP_4KVv*gXkvN5%2wcGTn&!=TAEyTT4 zr1)*>#WhU&F30Bd1*2GdQ6yq@nE|^Ww>2+xLxQO5&1{H4P8lIAzFrN@r7{V4ehA+{ z?1~mKMYbv1V$KlAob|mc-Xwlx4nOU?a8`!23c?hP?r+W#xQPKY&p_IRY(%{E!>PKp zix160G|ThYr?S|V*EYaEN@!J!`Ls1F%NXN@AFqhD!BHN2mOfqao`EzEVh5$IMp9}Q z)$M3`*=?imoLiY4IuWK@1(FiVecCJNxl6oTmT83?%2)n_^@E0vRr7JBQjA+LZDoBa zvqkz{O!?+A!qcmYdTP4CWV*9iF4ayu)UH@uVI6+kaL4s01PH5lnk1^LS39btWW-;8 z(64;BQLi;aC`;$}vF|V2*6MiUlAnrp6Vhe72*Hg8LQ?@Q(@sL_K0POuu^ zIBvdj-7@ze!l9A!d1!nt2+s44f1d|e;G72&-Eqi%jeV$aLE6MA$OO6FwTO&>>--6K zg6}ZzlT4=Vb7qfck94ijy8y{kMh_h_EJ9>Xc*ibZVrfe@nWfn260wLugg5Ol)a+%> zPStasv#U~f)OM!QB#d9;nQvSwBuj=dVgl5i^eex=-0-5H%UX~9m-7G)Y_BnlCOjK4LDX}f|b9FPQ^E~LHs%xh?yW2Fh#0o!4yL^mfKVn7U{osc^ zd>1pkk9g4WJNaowB*}so+m}u0hzWVvTD1wr{ zwScf2e~wp@tHiu%2D5xQvO^q^`?5zA0)TbV9PWi|s(oUgwt$^0JtplPpdJtjov~Vs zna+_%5-Y^;sVm=@OaDfeh(cj1ciuwaY(m~PqKkR_#y)`((rNg5r{!?9u!S5gMZdVa zrJYTb;?llJK@hX7Jg(&qG5dn-Nlw5EO3oLxLGq3M4M9jSrs(&l9ik1 zDClydLvCwU`|CLZ+@iU?x8DRRC9jT#DcVKe4+j~B7o)Oc(uB+Pu}5;F)^xYYukD{0 zy_aM6Msy6e+h=xsvLKIe@DypPlJ=K!R=~sXtr6lDRH8=f0H;7gaAg>QUaK)*{9d>Y z2{sYoX_? zpI~gduL;~Xp-0Dgw`6fQi#1ywN8EP#)oB!*uXD>6(x>e3w)CQEE4kJ;rd{7v2vy-A z+BG)bA`ifn>jD|EI}nE)pr?7-eS)#zR}0f~3MsAEXa_Zp53Wtad!$VLEGwIbjn?Y> zg98jL!^#+1Yo@v=U|WmccxPFoqd&FJ0@J~J`_l2sw@o$bwh~n^syukc_Ei^)m$FR@ zrc}b$R0o{0dEO}bl3-=rHVdweFJ7<*fbo~uA$|7j6gSjrfwK(s7p~IUwc2exX9^}g z5Cd&x9x6l88vp8;wAdnJU}RI&SVWI_gt*5y_aofsM8>$lO?r(?=RpLFKE-A%F6oN5 zDowUE8?SG~%(8VDZ>+y%`w=+Bq&mguu<~7@+ZZ(Yc$?XY3 z1dr|S7NF7%F$?ReYCIFTAZAIXPPo~_8pwjEwlpwI&0l4JOuXU_SfidtT51MmasZq1 ziPW5V0Cf@)(H2F%7do~>12@V7^WO`QI1qKH34Nysm?tqOYV;*F^7V6MMs@V;Rs@TC zHtj?^Q1U0OdGlVHF(c^XFv-gpANl*0^wH%3f~s9TL(=;kBOo{7NjbMNYjV@;fJ^+z zpaa&FTYI)=CJAaHVKOYLHc&4NBck66ZU-8)l-H|$-H^alNbHbTsv+Omxhai(1bcZU zWJ8{!aUz~M`q>djPkHrC)%*ux!j_dAz*5abIpbZ1bTDdw%KI<%f=IWw9j}>t1Y)A65`zRo)YIv%QjA z9Ld3u`MxRtwD29e!2!IMLUVhha&{Gshk@$5F>^#J1jpj5b?JNB)?`PG`7eBX8RqfM zH$CGr33(g@e$I`{9=13Y+3vWDiI!ybRPGc$QFh9O1r4^HrJ9%WqRJ-kr9RDnGp<2Q zG&!Mapq}7Ws}6D2Tb$XpNIdL}y4wUet1COSI8yt6H^^zOzh^NwX|gSsgRawrEYGsD zEX)x%lvjCBf_Mpf%W3_niTSmqRcSDdG~rhFYV$`$Pe`hesJex#g?{u8cbqlpc>>N@ z-%oc=oiqQKPn?a(%GdKW8)z{M*yi?&=JTCpdTz{^VN0*-NuH6qetm%-92G!stgjNwMD9j}Fm~5^LHcI!D{fR`~>D+dH+M zX+C3%^WW7m=f&dTQM~xq?F%EdF!r(6hPe7PGXe%4$4sp3?d53+6HoP2X;F|D_H|oy z(_=E(by*uxsgTGLShEo-zdU*r=T#Ak^j(1@mT*0{R-Ts>VWk~DL?8a3{>-LlHq>e^ zF$uOtmIWj-M~$*aY0?*p)~=FD6Uih=IwgyN7r>QZ?pzP3465OcCOwe&n#OuRgO4cgO)!bK||^%7A;|xrT6qWdV)Q#e5$mLO&rcJ{2E$m^Q3d zxAK#kyO%B+CiV9Q;LHeskc;+HWboN2(F5=gtMXYfLrxro0p%M`IY&FJPL+vAHT7At zqGOf(-Wb;5A9UXpsFf?M7!W!zd@l`$3ojDe-wrJZJOMnd4;+c z423BoaRhI_sTnUYf0tl`2irhNF|`M0$4r+e&NT_lPFTaWk82rjFYpB<5EP_U@fiUn zFkh4~goR&3+&hN1k&n?}4*&9&O;(hm!>XOQWAC=p=o(fcO;la(M}vV&jq;NyL41>h ztqIZAnQo%bSf{7m3fe7Eu7HnnW@h!B4;g2d>MS} zEzC4{MAL~8?%QjK$j)7MnkRla_bh1)p;bi;+)DYmaaX!Ter7T?jfs=9;#?12vLx-W zyLuY##m6@8W#nAjhbeHr-%f}!0pWzqUUYW$Jv#3QLOp!jRn>9)S)@YAr3f1R?4w^0 z$l#A$2gl-E-~{n{)nT=uR7vwfr8ty%$Gmh%fz%tmkcv$oc#dc%U-YMK+vLMCb+1Lq z+geLaf$Wr;<@y*bQ5Kbb**F+@m`lePDa2F|*hIQwNUQgaa^ot?LyT|b<1s0E4sYg? z4OBoB+1Ninp%H-S#jCQ+_!JjwD6eYTTTYKcE5J^FRqro$x#_LQ_ChA+y8&}VWk0tS zW-W<@-?n$?siKj$7p8*cOb^eWyY7mFOtW9rlDhuRS?`ja@Rm#i@zkFXAtMpFz{n)C zlVL(`p#D5+nJHT;1%txlv(0`qGGL^a zz&S@R^vOCKgur3OR)roCE5?`Mja#0TZ0Q0QlWSxAPVre~22@zCt|xujJv)F{wKBFm z_;VfhoGMQ~e)>rSDG3TKXauEk^oK6ZkVnXY*&<;9{=Ol5Njn_5s&k!Yq136l1wSP7 zSeL@Rt8tTK0)h;FlePe2&6BuOTWk!CmojE=SVKIMYh6wJ;yF))5De9lABWb=%%BfM z=A9j{z0dy-Z(jjd)wZo~V1XUjikPs0-KC)J?(PkUySsbaC@OX!qJnyCP_acq1sg@N zFi;Wf02AYw|0SOA+~+;-zI)&M@86H@cC9(TS!0el=2&Zdb6P=BMM`-4FXk_oFCc2? zO=Z#2mXEuyy3l#pzG2~^!@=#D+a24y$20G()aff6lj8Gsy;?bR&c|S2Vwv??RU$$n zIMw%TSj5_EXDFOUGd7h}UYZowcE!bJS0A2%-ks>bc5K@0Sr6Xy&KwompEYpRaad9K z;c$9NN=RtEUk2*!Or)no%ihEJiFuy1+)o>>e*?RO|4S)B5L0d?xEOQK1&vu`1 zm=2#z9NLmy+hIY>L)(iJLzfe#9qU|}eDdt{YoGTF-w4fpb+$2Qe1y8myLNn+!-1`NN#W6T?hORW`+TDp`wrwRQ@2W|u%Nw-m*H^9gEv{VM zY(PU>(XZ_uELt(=mmc-1bFCY0EqPozRkq{taWb}zx7zZ4kACjF{#EjXkjf{(oAriE zk(WqW8C_yVG>Z3}T6SPEz;V5Su3Nzt?q1=dLO!0XQ@RdbUofY`Beb2TU2~o>bOEB% zZSFo?RG-S;V@g}cPx`vx)yI_gm6aIzr{|K=EOF?7d9Dio0U&Crb8I8v0Vw# zD=soTk+h(Vu(n6q&z^zg7v-FnR1+2AkvdLda`?zji( zd~i-&M4Pglo~vGWdNp)wmGi(9Ewe}QoRF@CF~2wlZctA=PUY)cSfA#97oG9?2 zQ)%VvbKV@<<;l6*z1RK$9a7^*yj@Pno;6PM^#S(^cb9i)?#h;mo1adNk+DY)ni3!W zMt?YC%e-fquU7BA*%oBnm9gKmVwqZEe=NBoYVhs}`}{In)-Gb-je#PbbkXhZU7S&$ z*G$V!E}7kW1E>7iFb0#Vy3!JSx|TX7mAFSTJx_aN$O_{6CZ*(1^xhuEd7eipX|UWh>K+%+V((>cUeUOLb#4vlmUU#<+@4FTGLhT+cE}&Q zw(qHKapqns(G(C_JmJHJp@gBuq_#Bs>}wfwq9yG3`DwK?hP12Q{0srD$z902RePVi zjMPrPr`!15@8=!4*yiEz%lG;ZeXq)`ecIEQni9^u7C&|4f-hqMW(fXd_R0Hbyy~dRJimCzJNnqT^Xb>lL3=o|CKk+{$v4d`x}wf% z6CQr8%^Bq7t+AR_*n>sF*Xz)?H-z83)+~Z7j1B5Ys_cHs34Ww(bN5{_Ss~+}PvArj`$evRf*+q7cx4m?zm0M^T*Z5X7CEkWf z)*3Xc@Wl1FH!T}saYIba4_5Dfc(~+sm$;qC7Pd)AR^py75&+E!r*4~|CI}Xd$j|#mtC6RGv5@J%y7`I!2aaDnrUV3I( z;W_?l`iU(fe z?3r~1Ms?%j3!mP)7AJKdZCsFiXSD5A%BGAGLreD3d67rgea-)6Yfs1`@j?2sS&dNe z09fj@_SRb)tu6P{+q8M!vq1MTay@(2(O~9?@{N|uQCru<_nb8*Y&vaju=;lR@wXuG zz!EA{5W3F4Sa&P+kd|Oo`^OJXCy6lpxu-^-DiF3Ge>zvr&z^xQxKuJUdCdy_we;&V z)(4jfdLP?;y-V(js(Gd*yBBl!oKSrdsemm4aW~Ey_FOzbP`@5m**xR@{;|kV6rHeg zQu)OPUCwu_uQ;NkX6zpu*x&rd!|B{&IR5dGck|+wimjc`oM~UEjYz=-I-O8#X7tWr zY%f=CXeL@B34|2QEm`W1KKFhXIQ(3d#+LdBlUE+U@$OdHgCSwRKF8*q#lJaN7<+0+ zp}XQd=4;HWj^mR0?uc6UHuq_=&DZ1lqemynnjSgrpDsSK@#y~dr3t^92V$K#Ze8Q8 z#2$z0pSPmFA6J%ED^33m?oK8@iBgt=CQQZT3gcXe)CSZ4P5V%uR1UVHYtoT zaidj`Fe=4>3DI=@Aijw!4F53tp?~Ldig0IMXWE8SC)U)|gztIwF6ZKsiHsF*{cVt; zJBD4H5Nhh~SCQ+tGN8hG|(UiC?AGsXB%n*_a%bvrTi%8f-)zOF-O+!4~U zCe<8!67VZr!-h*?8w%g8e)yt>zhl;$=Ih2z zItcHrxgr_$8mS8+Hl(DpoV3?_QgfbdJa}>ouWq3$q_nQ$N>TU%ifh8aaP0NFkNRJG z5ZqqcD0}$XdHXzW?AWSweIqAt?Jt#&>2qUWjyWvoq}a5>prV(`C+AFcZcQFbDWi06 zK`9(KFmv`9UumSdzaoZ;huqd+u^qmOA&9$6tN$j^oJw9cFhLr{m$q?#%`~ zin%#Fj4^h^QEgi99y8A)J3CG_&ofl58z&eutTd@TT~_-FLpXBtVI_lFvh?=tokoIW zJB5>U`bNy?j%T;*RNN^po3njg;-o|UxJ6mluT9xR%%OmiCL@e zCYv89A5Y6>FI26Wb@BCvyY!N@w%5kJsQ9&A{K)(}71_HR8j>^gN#j~Rai^wltm(c= zcJj!JYgE!3^>gO$<$Ot*&YCi+9<*c^D7?pxRY`pf*3)_N0!nannYo7B zyQ6Q9Ebi1)_=qP@?jUaYq=|Xz^5dGisMSZs~Lh{NUW%N47-g z=$6EExEA76Jzt(od!AfLK*i_0oxQPH5jLN#Q2y{@0$(QkH(PWkn1ksfWH ze71Y#lyzJ02dX!TZ6&2@1zK?FRl4t(qLeHq1*1bD-W`if_!wV`xN@nn`^#R9MIi{?fH6i)v6z!zT z0wN}G0df+gdGxUvRk?qvgSXYWHcgq{ZflV=YV9uHNIv%P&>g?4uDMObdY)P+j+ijY5 zTD-c$UiJyv+><)b(YTa(T@ubMJ;{91V}$g}t(UVmTcEKjMBkD0Rw?slb}BwwKkNy# zjzf)>e5TjKWHonZEbp7x^XZA0s}la`kFvMBfE{xQ(iPe)sqiME}{*=y9@zSjK=)_1MT zvA3s(mp0#AJM2`p{ARgxQsJ~jYT6O>>c${_@afgB;>cD!2yL)x}KJ-tRjsF+guzWZYGWz&`D4%_ZezVYcD%M>meExhDF=J(~z*FOzZM&nBOMHjKMLsHS zUp}qtwB6@(O}IMK#y#)&hJ&6X_&|f8VYiq2CSx=rYUf=6>TM08M~5=S(N=lWCZE_j zU<5yXSjCNdIjK8!Phtp}7q=>J^gfxpGCLeNqth`&7@vv^%-G`{G_pInUHKglD zc4VDFpa#`W+|=-P)oi`q-670-cxvj

^T-8~YBw-$MC6^K-lGHu(C=dhxT0>J8f~o0sgU z#H=!f!Io7&ipqD7fQ%_GHcIBA&fW{2?$qkZ1T^0RIxw^YAq)|#v#TbcbTr2F%LSpG z&0h_nX_YGgL}KR$w!v2%Y+-%UHFqF1wohKd{M>u!uk#+^wc zQ;%4tsXvX|yQyKu;;WI<#h*j>DF*M|xAXFV6{BwL-+nahjW)xR{ z=<09&bX>dYPEpl&W_KF6e79 z_4v21-o{TS-05>_EO~FM2g9GNSU=q&iVhieZ;(u#)G@^=+djlUx%IV?2b?#*e#xso zMtF5&J{|X7G^VP6U8hj#3t0Ou)?Ep^Qne~Ju&`z=YRIO98H-5LD*C7DKEnKs^%LP; zK0Z65yR_F5M`?Z_y}o!9f7O=z8y9HFQ4OtfiVmt@T)w%hljgGQ?2M6Y9%XX-#7ol; zGX>`UQ(CkbCMdgSQ&gMe9&$48GPMx@LM*hl8(O1H(VXj_LZ-=Cn z(Lld4WBYU?24?>YSvsJvMD zNX_Z#BIUN7BeIe2JxY00JK~D!^+cnyb#LB=lo`UgcIGN^X=X<9ux%k(W2bJh7nrRc!S0RU0;JpM1N(-giXy zOF3xaV|>i4`k~B7?-7nQKc-sbi9b_6V$aUjCjKvmI$u@SS17Vn8}+%)acR`P)R z$bkrJ#e=0Y3hN`fB60J?vp27P+vX|vXyJp!3CkFZ`%US4u65%5oqL!wAJtNqC<>uF zPPpdWJ$B^SrZ+Ep&qS1UTG2DH<(Vt#_x-!RG>_PU1YD=;&j_+ba3l>85_npu~Z=BXHin(CI znx*3ud+d92I=w00@uoHQP%*3KxTKZ5)5}0>a(E2;RYf!3orT9vRS%&`W)WsH_whU} zA{uf7y%&ha?OmLL9=2qIfBJN%cVyRcL+-g`bU(~LM$^89(L_?kQS@$YNm)49df zCu>vNz4m*L+?=u~cAgC1J+tMB%Tq79dlOE#dnJn8kh@_luC+@Zv*_m4=H6Rnlh&hB zrkwe_FKb20yJePfV-bYfRcsWU)ol!;G{y@rP_lMW4o(?PXiSL#lcxH+7qN%s918!azN3 z%B6;w(+7|P%&n_~or@A$cWmCSd&`bT(ybdbs>y_l&t{$*d{$6{L$Tm}?o8fZw06^Z z<4$)QEJ{ClE8CZTbHBOcqlOowx-4p^-V)Y5u;n^4_Jn$I&vP8t6^nBuwqo}Sck54m zGY?#nMlG!B*{vjJ+UAjKEzdSwK3Et=pvt{lKUr~yd)|FHHI5n8LL-QuyK@RzB-&Dy zpz7YD$ArV{qepdGI5Temp~Ne_UA^T=$HX#yg?PL{IG?fKIVt~T-L{B5Pbyz_?vl7a zdM46vG9=`6_SM?%?PBsh7pq>@uAZC9oN&HV^VuEFb+)tDWNy8$ZG8D*x7)<%)NGZ6lmk&<3;y9PnxaLMsxIkGHC!X+SFzmoGtvZ|4Z138q#3j$3 zm2^8}C3Sz11fIX%Gjfim`D1i^+g_Jy&d6Ua0W16LzfE|XxM0oj4X{vIbXNy6Gpt~R z^mJ-5s<2(+iVbU0mrq>ir7OFFuhyF87MVg;k2>Yva0qG6MwHKYgyNSLog4jGe!1%4 z$NQzxX%DMwUdn0UolDNnFVH5YeOz;GRNl&c8y9azy-03c^G@3LSq(b<{MY#KCl7Mw zpBymBIS&^Yz9-#r;hy6*>b&-O_d5-#FB^jojBBoc?VZ=<+>YL7j15RMRPK_NsG@A5;Y=2%I;KTWw!^j&HUmv~8|141PUx){Z;h&k`AKJdSI1~w)qn?ypwt9NTi>bE`)(Hdh`m!%mn^eJu#nh$t;0--Lu(~>lL#k_OmC9aO$B>Tqg{k-Vz5i;h$ zEBf)deR4bQk=o@;oLb3%R)IFs+5sg#Ubm;q} zbcL$JucZF4jOgL75A~>OOuxJ)5ZvNj1s0ZfS4*|aaD{t<9i-`G77xAyh(?{Qfaj6e4`Z~4d zA5S7bXdNVzPmr}*ZD`S{eo1CQOI3nPpmK$E8{CS}O&~}J>&EC7=2E5IONs+RfIru^ zZ<%(deXFZ&)5gY41p-yplfr>FBmhbam)ogPSULc|zOiy(euzY(L^O5de;;NEM5X-^ zDDh7sr~cdM`KE&Z_2_vZ=;eDoHr_QLDgK1~#q&eNbS<;bCo#ihh z=018T2HaS^)8-G@Jz~4MDr)V(B^jfJQw59eM%_z1(REp?Wns{i^Rurf^xizMbo<97 zgl3#-O9gH5)t)UmseWNWcm@c(_5pPL4E@m0YZDHNA3sL;rYp~v&#xtv#-5E>3feut zyJl;3gs%BmopIOJp^4LIk(7Gr(VEPKgCDe;?rQO0cx1F3qX&a2KNff@DHSzqW zh>)_B&oef9+Rmc9$?Z^?wqWzz@qr61Lv#5DK(nN?R(7x+9G>5|p?H4B)iJp{Pvp+o zms)N}FKRp@=_+0W8BoOW6%(JKr@XzF^=50mVc>*b6@|131l2+C&+|+phG#WVHe*U@T ztHyu4*f%tnM;y`VWowTmW_|BxVEL`NY^ZG0k@=gW-v)q@uim~qc~x4)bc;rZpMKVIeZN`n3=S|np7LRMX|p-bsEa=vmH%i>|HfwWJA=Y^ z+ZBodv@|d;FhJ}(prjFqIP^E_E*KgE7_omhD1TAXFgW6Whx6cXB2$GDT>emGdmtpP zvDLV4qu6({ry}pP9o&4bqB(W2V?y5P$LQ(g53ln^Q5k1Hq+BsGjv)!A02OaWd? zdHgWt#+#30b{vi??(^k3a_}?FgrqA8&l+y*08cse{#oVK;#=D*pEmgNCpEwR3Hu$v#>EIaTnw*GFAd)PPc zY04qb;P?j%a+mjC(?XU)L~KlA^j^ub-4LHDD&O~E)diCwWqR2P-3?19=vM3w&>dJo z-(QMvcHC3x!=!hNJjPgEwj?f_fqHk|)42c4()o#|i5L85eFLi(b3R+QUNwyB2YIyO z_SWI0D?NKT&H8Lko3_VsXVIBy2TNzQIEore&fxWTNGq2ivb4jUj!ylw4qajB>8ayT zHu%Au@a3`Z504q)I5Ekn$$DiHF?nTv9Z@4 z*;TF5A5eS5^jC$|4QaE-d%3z6`tcd|z4zXR+(QrAOnZegHH1u?-_Lod;Z&a)r*ZojicIXVu%OxF&lk4c4G3*n=L1Fl~ zCteG#mm6*G_WEYq>3!cOJ$*RBRobmqqgN>Rz=r2vlHiB zo(S7@1a~g5UwsafwRzRPqLxXr=Ye^UXC0n^uZ*re!8p1Rz2ug()$uIehU3!*G`3OC zu7(jIefLeoULE}IR%P?pq`sBi+Su;T1CPNRuSHh2nLe~ftAyn>durmGpRaSm?kt5YM zZ1OYNfSGXv0y)9!6I$VG$PGl)+Dn(8s4I7EKGRIsFj7pi?#rEh&i~ezK)ZJ%J+|Aa z>rbDw5ATqHN~~=2DI;tt9i z{^B)s&ec{W@WZ3nMFmB9+xwhpZ#lFK`cdm&To!$P>d5SIB53%58XZr6Hh~zMqYn{sMxvdq(k*KZ_mRWZC_&23SJZUR(D#%?YYxKoA$Io~&%Hq7)g$tuHigK2f4Q(?ht3Wo%p+ZJ{*fs^jth#_}VW9Pa62Dd8d)4w&VGB(W>zsQN7BKVenr4N`&MYi#hU86Ft2TTr}}zp+rbxY{m(3#d>%ThU+I?EZ5L&xmlM`KnRtmjuXS|4N3TU$ zJ(q|~9dmGLgLk0jt#eO!%eo28Sncl;+P!A~AR;~{{Ut0*9=X+gbYR}V(1-YG*pL;; z>#DE!W(6-Vm`C_<@z>bnrB9B&J#2i0pFec?2vdHiK2L^xojfH0*>2+JFKg+q0+5ZX zhR^N!3$481*THipE>KmYyNIr|&-ILY)oNMBm&-9TQ`&Wsg^)!xWoiYiqDZ8Lnvz%F z@38vLv=4=RbM*WwO761h_GRZA`{;OsTLbNzxlq@Gs)M(#C^n7)FYKXR-fXbC)sf@R zUHi6do?3kQf^5LHOT)W;B(=kWmbT~;#*Sb1>P7UH(_1HUU$t4eJ{YXxlzN5jR&90s zIzZGrs?X@oyUM@Dw)vHRqww^zCB53TM~XANL!h&CE{|_gwL`2ARg+oKro* zaCYA>+>=+@?yp5W8+1|z91e1)p|Tl8_~g3%{@6)T<)tT+eau;tJE~B$`*Z^H@!CFSW=gl-VGxR`P{if=$yFww|2uj zg@)ghEu|)Xm>;`bz4MAA{O++n6LszT_Ulo5Z|ai~j@ujNtBP_=Dr+f9?aq{@{IRvKJA^Z^RaWfe1&T;-FA~X#pFD z0i2#t*tZ{lXD@!M#~(&K0)zZNH{$t>1yy6)-vo$E#xg%%wXA8f7NKTs^KAzbG!G3k zB8TR=_a%$oDn*-*FZSnP8b5yi@aoOSb??Sp1$UMEXOzql%s8fWXI`%{-7NehtY2?eir zhe#i=+Q-qlr?kAH8h2@R2Ev}=0_|Gr;e=k) z&^LEUR~wdQTXS5G-VXkxJcJ1jB(smoIv+(gzs2-k*(7H!%T%$zw) zyXl19JOsH(s|{w3omp6zo|?NWeRbjDUsn8*R)}}TEf>s4oot^u4eywlYMOzM=s6Z3 zdvTJ(hx}wDp^zV(I+Tc}-u&-9pHRpTUWU!11llhJFl4-s7 z+J%-RW8bS4^Jn!+KF_P~RxvKVCm~HWZ&oTU5o$T?TU|Wp!!UBs0h7+o8()c299L%T zYx|<7HXAxEExDVtwtP^R@c7fGCfScPoXnqbGT$F--C5q!x1#ji;EAfUH~VnINUe(R z4(+~j@tDxC!F?%|(pFs$L?oz=lA9l12Z{x2E-g5Hy4j`D^Sio+KkF}_^6JoD^ao?X zu*RB>JBIgrr!7~=T0R|v^X92OPI;G-ed=C1BX)ZK6vg{P&0T96er+POoV;;4y#I|| zlfod)Zq8B0{!~i;BYzAO@`FRBiF6i_q&Qd;%PjB%(ZuonJKZcC3xgs70RdzQi-3Mh z2ylpDVX*&7&HVRV(ZH#!KZxspO!@u24L@>z1H|ut7V!UzUiN#d{wcpK6!OE$@cjV= z;D$sXfY}MmQGiqy_!r=XY$9jF#9^_2_uLi=r21i+LNWg*jLzSC5tzMy;}c6-SUd-y zm>v6ZB587I7IWNEac9%6^EFD_FJX7SPA|NwRBWxlW`{gz^^B=)IXx$-$C}RDg#CK; z*|6fhUNrjv-VKZW9*KZVGfToU22rD2=e!585 zm#7*6f004vFYRwBs(uUJSg1X7@vLd%tef{EQ-JM3-1J^oe%ABQA6D5vOrCFy&wu|I z=1->XAJ$s}piA5lP%y&)5cq#n0sQ3e?Au(0PUHGB%MYvNZ_infSR?}R2XVQS#r&R+ zrEx3N3b*1<7W4Qqe&DgvjT`ha)2l>V!xMk_BPe2^zhD8gyy|LAFp8 zG^w!a$T6cs>LZkwCys8-q{Qh-0G0jzVb!QVlC z$NBx}3g8Tfh;O(GrOo3`h>ZMJ&>x@g4O6TBD97$`nwv^dtH2tw#-g#hU0`S&6#TP% zK==HS(dvq8>YO;0%>wo->_8^SKg&d+S#*D&Q3p0ybpI?ni}m-JEta3=bh-JOKRfiN z^>sDz1OHB>4x5T4O)%MO>=83KSeT_ z%^u(-0EOG;{5jqa3I1+ugxvpTXxw1?&qv1P1`ay;dD*|q!}tCLl4-0iKrR81{fRWX z++^#&)V<%%cljv-AeWTCugK3^VEWD(y+ISJQ)tXK;FduRNSQ(VTSa0??0E2Zh`&OAzxvk_n`-=z zm5T?uaU=nFtppG$q0MF<{awe={uH!`Z-k{0BP0gktrMRsDjxigIeuvUAGb6_;6R1Z z-*jQ@H$?~+LNOy@=#fwa2m&7of&2jSJ>@@Ouxx6BHt^3eV1Hozkn$ffep?~_C6pi2 z{sWFs@3APY3WM484_*AN-~Zaf-^cs+G5vNQI4{Pf`KE6YB7avnKZ@6%0`g6o0fjlz zXHdKKqhUx?Qy2Yk>CamI*Gzg1a9*H$Gz<>=GuLm|{w~{Zf=%a=D1YYr?c(3%GgyE{ zdo&np1S4=bp1>rh^L02pPDjEsaU>TGM+BpJIG2c`_o^w_pn`(+(TFS$3x`ASOlCF? z1i>|Z@Him-w+{(7Y_K0U3dj4F8TjyUcrXZoBbvx2C5&#yQG`vGaaynvM+0u^cqBYd zM8fMtWW3Hq!SiHvf=@@m>vT*a&c-14Y>XyAA|A&g;(Xu!ctjk6OTu9|L_AAK#`A4{nQv>l-vsza!XGpJi@(7bprJe+4h1w9 z=OgjIHyKCc<9IAS&@>WGLHpKBD$osh;O~2ro4$EuoR3BUx`j%>nSka2_jEMkKc@Q* z$ioqU)&l*aq7isDCXkc>6hOr3cm&`Y&?5kll?4nIi;u_Q$TU99&l8gxv3W*R8 zjYhYz=mfn^uBQWC=MX@|Znl_#cLoq(E~yC+$`L~3QVDPtjs)sgOU+n`9HzG`EN+)l zg7nJZe5l&0MrfpVw3Z45Y2h4_UZ$WLXcmS65nvl_Ac6@g5S#59nMK|N=uleeFpU+- zFxnXsiydXKI~*RDQ-Si@_&6L5Xg-aI=Kon9dj1tbO;nn}RJxdOI^PSW|+B9l+o z)MUC*PNo>tCN)_E$2d4aUqEMX0)&9LK|NhyafFPooE|Hj#2D!!VQCa0Et;xYL*%b<_gJE$vPyx5o0rG+sfS>_l zf+LW=i5L-s!-^0NG+8S9{Y&EE`I`X6a2jyY#1g9m6ov;$BuiC#gA=&>Z`^1C{2fo} z7sD8ypM7z82#3pQa3D1nh8sd**wqf;==A{D4zcUKSiajSQ89y9ibPCq0yHr|G(g%k zR+&slf~qNAl@J>gGr2}1Q*UO_xE3DQ%f~4F0l$+@;X#x-mXT=V@kLY|0p@fN$zlT1 zt7Je~JdYjG1ZX32X)YGk;c=*tQc%F4GH`@c7w}w?B+v*68Ub+VkWT92YT#To%HK0S-r(e; zWDd8psfGpz_=my&Qw)G#NRQqx<^#jwu)_EThZH1N!jLX5)8ml3onWs}0W)DB93Pu1 zV7crzjhoN}$TH(JHYr?hhq8iHGJ}US&}mpKm#w8iok*4&OgEby8n49c=BiN+s84A@ zc_lhzfJ^20U<#C)h&78rK8;tZWf?_HfWZKjF5(GjShz<`w9ybWg@T1K@#Jz2BcP$$ zv?xB9%9ddK8oAZQx0{_v1;z&h8Bq!)&j59abYKC==`tDZA~wa`1Q@VM5ey;%D2AA~+?l8- zj55gt-<#~RA$(3MLjbXoNMHhk>Z2e$6cdilmT+_`g;Z%m^7IrCL5mSNTv~=PC~`7` zc8AtNW>ej2zD0nsLf}EV9U&CangF3#w%mpkGRRyzMq~%8O<+02Pw~$bcVMi8K@%Rfw=TffYar2iYYerPz&-8WnUdQY#Xfb*z9U z*aV0GQOyB_A4?JtxC|de;lhY$LN1g<0qd+3rQ9dAfSf*s)&WPF;06>D=Ym;Kbi39m z!MZtcw8RQ+BrcFjIJ;;WZ$(7o8ScFm=d8vj5M3QLbb{%(((9i zhRx{k)1-iDCgCbMN;DTOGF#0w3R}bk%h7NLR&B@Y^nM&1sdcE4Doh}Na&x~onMhZH ztSA}MNwd=>W**M&goC9PpAYF_L4`Ip*(tQp@nn$|CMUWi7EnMX7C8_O=O2s75Bj7D z@Y{`V>9l5pLoX$Z)m#^mEV0udCZmGG=LI+fr$g=I@p(FgjphE1T+IqrnADKAR|VDmP3KS3@D@6;8Cj7c$3u! zqMHy#ip-3qQJHp^)-Cj*m?~Z{5Kw3}-{lZuv~U>$CIo7tGCg7>RmrAlf=Hi9Xt4SS zQkICW1d~xTg@a|&$!QoqRf6JkEDD9&NTo}7fuN8hr`rWMV5NjndEW=cq!0>-1fth3 zFe&L6n3Zeg@Qex_TSt;xBoLMo;{%D%a1mRqhiU~_kygMK>h&NeSVA_EP+pQCsFE5~ zdLhp((l!Aa7-11$2X2 z0##$hUNnO5Kx-^6lE6t~F#Sf6>HA#92pDpXMrr^|YogRIQ%Ly%f6&Yk1vw%IN*usg z1ac8FKqVV-ObFbDBO!&502t5V3!Q!>Mx$n+AxN3SBY@}yNKI1>kvOVTz#yqX47|Z% zap+t)3XLoj*nKbuiUT(o%_g%5$7Kqk6oP}GAm|)CoRFtxAYg#jC2BPu0b9%@Y26e% z4EJ4qfs8Z)utT!I`F@8En7lT#(S`SDygVWS=f~OAdI1Cs6E@8v5#Qi+iPb;(QfSdc zE$zE)$(?A1%n{WYw21$hjlhL3>8bMSnU>*3m7(bW@vM@XdD@X>`gN1;-Cj_&! zKCm6I`siQ_7omj!wjT)`q&77f!v(B}zarp%p8O{%|DmiVz<*kx5BFPg6Ug^Xb5H=A zgz+=`@!K^w7pwQ0ngCT|o>?X4i=#F1y&N-XonEtVkDDqW$^qCi5x`0TR8zMV1o&iV6&WS3S!wzTN5DC3zMKU zXpWW6fqGR=xm%+nS)dXSOXj9n9d;?i59lkLQ)qS@oOG91qXApl4vSr(wL$fCnN$U} z)35=t8bPNT=uLoBoJG$di79f5)j$v`gJ3t&Vm12tEINhjKv6u#pvLFX1_@rLm{7SQ5}9-q z>trS!-t9wTg+wlag$%&WR1m|*6QaMbDKsq)r0}DWMygdA^eRD884az08$ligTqBbB zU1Yq>YznXQ;0 zR%nJghypOn>{R+FAQ@jG4$4_{5(%o%Sm}O~1!dBy)kcBMrZ5CFII&8KgJ_i;9uiMv z(Ts-Qj^upk5CWqH{e;Ozn^732o#bsU36&SgT>ZaYNcemKs z5RFz7U_ilaW&o{30kd4?HZy%XsZr!-2+3fZktaqH)D(--0z-=pAfi&q2!407AW3kg zOQv?qWC(;0A#@{EUZE6&hAP2OwaE?z6r^3^l!&2rEX3pziBS-})gz;ki8!cRVo>q9 z95~ITlzU_>tH#~bWWW#za7a2hh~qTMK@fyoW+6FoX0?e3Y?t6)qSDU^YOD>0&%HrVz96%dLD0Grs3I&T0FeaTK z(UNFVpP$9|2DuI|Ish`W04+`V7QpHZAe~y27UBi=Oe&%jj^bb$9L;yXjX~sQ`UPSu zk%kw!rB**1FH&nMmjB1zn=UzyBx!>GOQhZ*q*g|zTd-NUuav4B;RO;mNSwsh{s3{1 zIEWi0z*pNJ`$+o=t0dh$A~GYh%A>kxJZm~qgy{rf27{@YnjW93TF0}jT6i3@9(KOV zJn4)Y7VX9>p`#Oz*_bum!r($5F%|rI(OBdCi>Ye5F&uV|ZMMH-XneHhWrH0jFKG@lQXb_T&P*JT=)ibr=_?SZuBY?q7ofMh9&`XL; z1IrR>*s^jT%+&3oBkg5yMs26QPV;o1V_?|uEP7sM=WKP$u4idR^9kNTvdc$@F=#R~ zp}bkOTe;_A3XFQlk&_aV!fnm@gS4;Dd6RZ7t%K0CYZgV+7ZVe2cj?yhm1AIR&55S0 zY(_nWrEQhx&IsDDf|NA0s^N+OyW62bequOWDgs*UAR(E0)=TEC+0UYOtg=)vQ|Y+$QL6 zoLkKYf|@XFHe&9==64%Wj5iCB$DebEf+6%07ZXYz5=Aa6Yy%wbTSDODnmZ+MpNKZ2 zGsCYW zpxjX%HJcV!BSB7*fjCxDpy*6JP>B4xJ6lH<7kOg zv=T+I1#i*p_rlM9e)-xE9>OH(qr;hCA+2Cv?o#0q9UR1!U#9qL@jTt?!#6FUt#n~) z6hz$Fa}LwS|CTO&zvgroZWe)5!PKaeHZD;0EL_mA<~o?md+!Hnvr*Pqn4Tzd?qH7* z?wz@vp62t>$pUa*c44;0F+?2eot-Olhbq?obvGL8BYlW?t}uoDEijaYht!Qot?kO8 z#p|XK3Xw3Mds!k~u>GCoy07y*EY4Y#hkI!+h-XDyWCAEFj*L;rdR8xFsgfyS-zcqx z*bXVx!nx-aeYcUAkay3`^9VS(HgVkdoKj>K755Q0R9s7-oAb%p#$IkqMy<8$Tyq!o zVbW*CtS#oe=eBD{7wsgl=a<8r8Bi|K?5E^_O<)D+urjiD)2*4tj%^lMvD}rWl<_6v z(asuiCP9$pt9(^%T7sSxDwW=hDSL|bT&g1-X|Dj?R)e3LW5$A1k8(! zJrcdglS|e>noNrpIWAk~!RM``&?kb$aAJbb*7PRSoB@Oc?@*tGVzm=FsWY~L>AJ2g zP1$LRcDLR0pmzonR$Pwq6FQBK(ol|N&3;Acyl)!?eXdI9zAB?04W+kL`IKPgN~Q~3 z@_pfy(e-w%9n*DbR)*}$JaWA@RZ8K<-RZIo%Y<5PmJ_qg+~PX-MiOC#g-@+ufs6DW zRkNpsQ-N&Q<~V9HE2EV=I{PVJi1ID$Ph29l97=~BFSnTIRTy{_EUP=YS~(q_w)jb80M3}k~#c-CTLy<2h;vWZK!u|_T?f}7EDxq3>~ zT|UQ260uEsP|RzwTHR(w)Fb^mc=1VTw`jB!EiehRmS?SMIn0rln==$63@pc*I1hY! z?FB-}=PlR?RJqv}2?0xb!~2&293Y@2^=8AOyQiMws2h4pxLM;PByT1Ym(Ub)S zrR7YL)`gVtsh2sy;b1%Q<#P72v%i~*^+=BuwhW}{AP{mBjcE9IMDQf z68db#b8?cuf$6E_UAeZr#Ys~Qw62^QmSV%aV2*YE97S>wLIC(4Q zkYd9%EmmD9y4!UX)U8{tFW!|w^kphn?Kf7Z&1MLd&>O*S+SB$)Wqq#vLJ@c#m=>I5 z$kwJbV9QW--YH7%=rizHqfUXC%!UbwEb0Xn)(TgCe0A zVYr${Del~rzK>$yirT_I(lR!m$r!S(NtSOC>#XmCr?7NF8be5k$Y8;HdKmWydfnLL zoX!@)&RAk@wsL~rNKt>fTzSt4ZD8VYW@H%8rJ#qe!k4U_GcjTp zTpnN4JXxE9H_sKU-J&eJ*|M>27E5Qf$ksfIlFwzcR=b%*7#81{%*{v7iV}sqa#8fP zaPaM&Hz!Lq6^MPfM)%IqD9;!+rs8tcXg-Lvb{`z+MJUZqE{;4coJr*dMUB!~X=l=& z#Nn`<`6pXHDo8qsbt)Fr(cI(-d1{fhI~G|R3iU+sAlt0%0QJcOpJ+=n@R8uT4zX2W zG*Ph>-A$t{7P?3`LE8E4&e6#W3b}^v<|7 zSF_zIA>2wYJ)%0h%J5_k*`|eB)f>)9cIR%>F4n45SlYO03Ym)?BdxvOQ}+Xrf%O(g zzBqFm6WIfJ0%Nf@xSdQ2Uqp`)dihS;tioV7F51ad6JqBnoUWv#A?g===yfq&1u8u& zLu@8;Q!;X=0hA9UR2Va!N0xhw$)P?4SJKHI9m>AoMuj5g#{+W6x-B?Xnf+{Y8m!_m0-U~_h}U7ng~kI8I5665pdsfGf;P5fA`4ogDFQT5hAm zx*lJwbJAK=h+EFD+2b<2u4`LuZNSJxa;7F)O37?CMRgVV)HPqo7c$_ z&ODV1rNUUNrYa~;4|pXAbB4`*Z#{>FatGv$_-M{9MUW|NoQ^6pk$k_#;@hdNu8wiB z*(l6a`!>x3I=C&@Y`Jn!6E+|JbkH1{jRhcxVtozOq7tQ9Ft)Ctyb>p$+4NZ z2Q`P)S6K#Q*QY~wJK~f8jA`R7itKV`Csqp$Attb}pTIQ|z$vmr?8_P)E#TnXDCw2- zia|g7Pv7YIHd~%_f>OZm!!H#P_R~_XCNP4;s2%Zu@FGoRBIyGz(*vML0#fL5jbA3# z&08ol0{~wZMo|Q2nCx3YY(^55a zt-(K(g$2`K1j}n=fIo$kzHN z*V=0hNmv!xY}Q;lKt6PRgz({H-0ZkA6eRSVC4hULAgG{REmH}grH03sU_r@KgxAST zpaXQs#Lb>iCCRy7tC?&Q;+z9lJbFG|x`bElWYTi5wNwWW%_;tXJ|UO@6YB$WsB{`z;+ul?79!Is9OmiQ8_?6)Q|246Rz=#(^!YV5LLFMV_n&h#J=%vU=;>erkX@6Xy%ZbAH#s)tG0QqST2egik zryYBa#G9IWt5tBTI5j`zZbgq>*4{)ddcN|R7@1V)V&8{G2;u)xmOVMF!Txww`z zo~ly90SF7Sg6XJvplYp+u>rz z5bk4U6{Cm2I#{iYX8rnvulXl~NQ=7`#AuCU zW(Ym7eSYfwdQTRsJUr+pwL1yUTqKm$M$}($uym;tmxx9))%|Ad^%0e(<-7x!)|7r%)wu&<;r49 z%jXp;1azKUFI#ML@x8z{3G=c=@C5fWFenk@VYet5Eb#LDq}h?U$K~UN^PZ9eI0!CQ z4n6=iUUgYMi^1e>fV6*>F^(ItzE(2RX9HPSFqSc2FXeTS+uMAl>)N{JycMdbtTm=# za^}bfm5Dl^M%<98)hr6u2u(2P!Cc-AVe@kqZV9k{UKO#Zo5Ln$=QhY)8W?1<6}s8t zAZ2f)P)h*7&27!@xv-aKqn;A=z>_f(Qyp!%tB~0hHg8Hr*V_$ST*nNNgA{WDXPg<7 zYEQ+A+<_A|%MlMSJu0BMuS8V`&ojk4n5Mb!H#|r+?wUJ_dv!4rilT69MzfPvx5iQM zL^Pz3W} zTsfWh4hg|hWVSp}!{)@eokT#HV^E!ODI1C z@R*2ED=|Bgx(9d~;zBnlo>;QFj1gauFPxGt^UZc_k;z|CofN|nXHQx=K((d)gDA%ajYZE~q|Ki{si zb$KHIR%lqgB}%>~g(&qjrVZjjDUe)j)fA=&jnCp~)~-S`-f~HqS6S#yeLdZzlek5y zB`EVFND>2E0w@{;<>T?Pzlvv!cr*5`Dg|kE{%#+IExiYTolFu_5Wrf^~lltz= zBG9x)J7m!=HwSLBgSdr4WCeen;#Y2F%5uMEW|8h;)DkgjYqbDau=3QT$|PSTo*y|l zeu(GPVX?lc(M8(u0S_phIcy_Q>C3(9v{=p3UbzZ3Nm3xEn||~Cr6Fw_G3$9}#V=n* zcqWP)!_K3rZ-u(S>9r%Ly6(nvyr5^?c@OZ<<5jHBK7@UMabUCE*Zy2YL^Z#pyCHKg zqB(Jq!d>OdbA;`YY&TS}p&S(3+hi3n^!lP36B>}~J$j}SUPDJb3dwx#Mu&r?C39bP zxx64oV2}tlrOVJ^9kiQmo_l!@7{=yy)|jl|E)KNKN!mcEl4eLGH`)YWGH2XqH^zw} zSz}X@6gL2gy9zIt+op|5Vos4Db;8PeT174~ui_UEa0hJ|7l~I^){fgw+~$CA#xpx<;SK89%(?Rw|sF7 zi;d;$#~{2wU>-zKTsk94B2v)UbxaIkJ&5t7JebucO+o7@Ycm@&&Q#cpz`K6zinvb?vDnn26o2|tEU;0P@s`LTu4rHfs%J0wb(ETp)5fr)XSJ8dRPD+2;*1G-yfZ0& zd6dDsO`oy$7R7+dbXq~%>ZSRF7_GVvuQhGeoA^djY z0bml{6nlxE^O3tS^CrYuEeP5JgU?r8g)}7gG!gLHa*6PeCua=XJ!Rcr>x6Zt6NZsjh4UU1VvYy?=xkL@%BqP=xdvy?v2r#bFkj237Ld<| z{;bAWugLpZ!L)6ml-xx#)R7G2b(x>9eF_k0X<;V3y)V3S1D2vp@r^*+Ks2L@<)}$( z!%bf8o*KP{hNpVm&&e4YR2FiLxCX0u8%+=$<(G!Rt4W0DbOyGD+ig#PMdi>iLu3w; zU$|omj0OxC>)o+lj!zgr1L1w!oQvht&WcmER0lhO6^1P=Vjv;BYoR+8c?x#I{yN!o z1W5( zl~IH7`?>=66@-kD96s8sFt&E6tDMyq+}w?^r(JWJfoDkApfizvnoMzYOcOMfCeas{ z4sf1{iLyOYZ2VcjcBDQ(@8#nmmxlpu}5+F{3f6Rjl{X*yIJPaHq4O9?#$eSLO?QKQj$MT$YZP_T6T5~ zVJ2ORw>Mx$6{$L?-ejkzndP)C3g5TvO}ZmfBLg@`CV~LDo3q(LRwsqS&JZUAQ9k|D zU=8q7;Np`ijb|l2Ec~5n?F1pY;h?c@ZcmO4TqJYB{6b3$`+>RfoV8k@ zRa}yXel4b0wcKD=XD?j@Y$0aH0Q~$go)`OkhS_&SU4U%&0S(bb)Q&eZVof5BTh(@q zVo#&)1X>xqHi`Ort!H=yvNOLy3)jHWj4j&4G*mSry8PUV{2&QYg*MoBU+J1nAD8W@ z^J*IA3!^4^_PJ~_>|Cu_9h~#rFbn&>wLlah($&#LPsi-EvMuloY*l|P#A5B1_4zE= zEuQT(|5A8N8~62XVd0x#bv2)c@&2fTOE>2qlw z-jrtUL#)P`UmMtf3dXjt`Ubs$y)K_zaiQnK6WVdsFmrc^Ho3NP>S38QHbK zin+A#^&Zvc(qdl~tF$}qA(k*anZ02NpO<4C%2)z{Z8Nbdq$Z0#d4f8xzk#jN3=C6jFELc^8-Y>Z?vn$93YOU3J!D| z3x^EM=gLaBW+~?oyeZ1$m^^J?+c;5=I1_c_Fmm8kFiz_JeAH30xUk#Fvk!AHifx?; zVgYYXUO;>vMif_8PiJ*0 zV|(9Rw5!6@Pjg8N&+agv3#a7hf7`D8n8Po^PnCYhO@UB%*VCnC){A{wW&S0tseBpw zhvi(~b_;R|i+H_U#2ZOh&FlcS+hK2)JuRG1PqktrJtljTF4R^vm%FgsYXxeF5E$zazoa>vuEl6$!*JKHv}}S-Bp$j3~FAnl1{;4us@0rjOkq;L zXps=ZQHg>u8LzAT1@2d=2`>(afOZ!HylX_iW;yaH&PkSM>DywKHe9u-4>%nqDPv#p z6s?neHfsJ39fp|T2Ct;s3M7)@H6mYTl9ATxCSH7EbD%eus zC`c-6#dIckxk4e1G7Oamg%u=-GaNAc1Tl;`ti@<%s9Pf?=-9ZHy~TwEND~GB+)_!@>mvOman+1PfKF z6jJJ!>XT!G%`-ho_H2K$CNIUei^cZB)vTWlHwTb2Uz;LczBcRQpa2k(cEH4>9+$K8 zmK&7A3*>Sg3o^z!*9MFdn!w5s)N+bRHFqdk(-ul&iXq~SPAc?bg``_l^h>j_n=~qS z%wZwzcZ#%HM`v)FP7f;IHq)jp&^_Xkxykm`A#nXY8@oucZ?J4Pm?9~U#hISV=o0lL zAA)in!lGk->|#$z3w2A0FHL#7SIU6?uvI*M> zU<;SUr7yPEYYP}+B);61k|!KjMhua?y=x-zc~PF84r}*Vz)7okkgK5@*k$PS3)y$4NcA37|61QZJuISZ%?@a|_T;0hX04D(a z>(L>L-w1}pX%w95X=3D4kslm>MxTV4fuD|Z<$5SQ>e6wDh`5`p7-59LRrKI(j&yR% zZm`ysx?sKN+C6v5FyE~BLEx=(evt*Tn0y@+cMZKmP73vw~qdVpfelI5N8{oQ9ax_ zO#HEPFDyezF4-R(zdp~JZJspUSaYXr~%wm>Uc` z^XANDjBAiLoG;Q_0*$thWfRb9Xj}X~kTyb*d4MTwiI#-$S@9Ylk|{l9svLVPzCSNo zK&rLVq8rIpyYL7jb#1`D;(rT@cOlv~T zcI{l=Vo4L$bE_E1X_!wFn$F02;F;+0ZtwYh=47 zXTuTE2GLEYV-gS^$zLHl!cXXHFfXyAmI(%ghOGz)gyI0WVD5IbesNb%CzsKzA$|zr z(SQz9M-E{c##?lpcc-QY1Uso-QU!tR39uN^sjU}CF{guzCiR#(s@PIDvVBo0Z+tO3Ux=_6is^T%yInxtLw?8K~Q%P2y@2VBo@hrPC% zUzCWxJr!^Q&?MpxIjdl(<^0HqHpvZqoj021n514{Sb+A$pv?wfDihFrQ^Oah*NoKZX zwUd$vU6kSE)KRfW_$eu$+EaGgUT>=%E$xY(urbvpk@ZDYuI9;yOb3shQl5FW9qnZ# z4Wb}klS4A<7PT%Xb~fT=r@$jPB{mg-r6+gZnauPjGL4v+A8sOnqc{FpP^w|vo42qmSuBgXx9*~ zP$_CMixDqSl6Nvw;dV8$86c5Kc41$*V^Z+i9uReXaI0byc&gU) zb`H19hWXCfov66jfIX>f(y4mbk!qX)W&leG_SkioO67c-lZ|QC$aJ)H*HP}dDCD1L zmxQvI&5Y%~O-=~Nlg@$n0;fs}8NEEM#OtZUL+g0LiZgbw!C9m3qqJ+uqa$Sys9nOb<(WI>a3wl5!q;T|ST;A*_9+RYabODP z^L*PvdY3btx8|Hd4L)0VdqM|~xyT_a5*-TbCV~GF9Mzsy!dYCWZhtI}(^bUA{CNcQ zSWv`sn;QhkCUOv$U?as&$;yqPm_Sy6^FLJQ8)}~R<9;-PkVf_MWpY`FTkmV4*0;>e z*yW&mq}7Y$Fj4-9s>^LWfj1kZ2sXicqs9z8SUVSugeX~B0zU&*+DAd2DPy&2<|E_- z!S!n7!sNC+^7&m$b!zm0QTEv?_!*|opzK-H-Xh%KAp`)op+33Y}!>hw%fl|^Fl zi=I7L2X~%WeG01dA!s4(3!Tq!EyT~3__TeUCzb>xQI-L)Pi zdm30}-NI&2Ar!^QYExS7U81w(AaN+tC;)-666Y5gn~}abpCpnCFM9kmJ2Mc;nC)1l zSlJTT=e-S!B|hTpYi${@DIOH%Vogh0r3SZTxcZY}71^q_mxslPn}etmw=+1E%9{el zpU1*p4eOI?8_detSentb0}UY-1P-3X>ney_I+W+TwYAkCGPP;6ez0s#J%?8sN4tE- zs)ZBoQQ8@;Y{HR8hZcXTkk$$ctx*e7Q2?YTtO7{N7nyC}xv0O#)=R0miH@l$1ju~q zP0hr7Q+ryvZj-{V^rOaLP^_1G&LM<2aXq_;!)67Jt?U5u0(nFdY$IJ+(5Yq{Y0Ow? zi>51&PWoNZF6&UAevW^ZQ4`DLX?@h8Wg_ix4{X6i zuX~*}F8z|Lj!6iLWsN|#1=`GToy{)3G6hs$rie6OH?5UNM+p2pMqGUha#|LiMqN7b zQQwYKOEjr;XZitb#Q}`;r%nM}qDg_}^sMF5OqdHn!`@#k38vVvj%#`?Z7zXk5v6Pg zBjiAPY5@tjs}T+jiVVO`jk@<0f{O@#&pBAXv#@c!tsQbXW6Nv^aK7s~V?!=$bVcoO zw`qeA7_5SdS?$HivqQ40u#1Ocr%+pHI-DixS}{pAs0v4f%z{x6YMr^ z3K0Et$AH2DSmA!%AB1CbciS*llTZy!OYNH0SW5U3BEJtKbcl>4kC&fM^ExVLGWAx)G_~(A6N-&brqt;Em4}e-2=?r${_O zf{|TsKw#m3*FKv#tedu*n{;47#a{%JbS*Z_k)Sjyoye;>uh#+XPvC0soGv?A+EQ9W zT;*y%oL(SC`z}AunuNAE@U5P=J+H#ni+vdB3PbQ=aC)}7Q8 zip86|(N&GB<}{NcxdX5IrfX=7R~+^y?6LJ=9qA_k3IGwPLP{I)YZ!8+I26B)iKXjI z2cMd5(`wg3RtphMAm?zI9Cv?|&s=CKTAOT{CEac3+Ef)fA1M-rQ*A+h7tbG4Pf@QBK@Xh%NYgX>i$Nsr= zmLW;6FzU1;Fi4HJ2o#68I1U=i0SbX3cIWDY9eW(zfS1EQJE3dEPC{;2qFon|TL7P`T9Q*v*dwA_*XK*Vi%EzYSxBk4x`hKcu#83@f=wOg#0+;)@Exf6 zi#W&IY=3o3as^p@L<{4(3cwgQ{A|oG>Ji*+ARck`YS^>nRCd<`F81qlvyhL%QE4Et zaBmGd;`^h;rqom5WOo=%Tj^~H^}vmZtf6f| zf+@V|FX6?X%x)qfts(@nfz3zrTa%*`(r>p_67m&Ar*jQ{f1W9!B`!|W9#GgeL*zm~ zm%xw3L2CFsq9aI_7PMot#vuCLmURtYXHX{{^!Z~i35I1z>x{wcp^zabBKx!~DU*Cz zU?}ESl`aLB+I0C#5MC)!q9vG}Vdsyugf|AdF$Tb6Stx)Vt$aJZ3PQC;AA5UXH_qY7 zZR)(gHA0H_f+7dX6!~@w$O{qA<@^sM67h-bf)UC zgQ8OAvSjA#VLIWqEJnr=zkuCHtiql)7}BX{5jLJKm7(8h@LRS|{gK~z%+0*P3Ik+Z~(>%A{N~mH*<#(eJ4!G`Zk@X(2jxBZ^ChUsOfI4cxowqJqE78py zyG^Qw!3l>vWb!RPuHub&Pk|;~?~%ZdIs8^4f^t)4(@CIHbbC9y0tSgp(?Rju(;?Wx zdO0lx>Ey&xvl#q%sezO4&>J1cJhf5Vy3H=F7tw;)OQAO^<6@sR-NW*4r?xBM%5HcDm)8 zqJg|`6Bb-<5M|w_o6bP83t&M|L^o_yX^6N8mNkU-d!3pWC1Az|DtAwS=9UEOdFr*; zZ8n)yz2aFcK9BJRoK+>WogI0Lj}K0(#{|CCLH|?hfzoY2g63Ogt?ztm!&tMvTe1f_ zg&YX8k$S3TN}h~Ui$L;~V!bODw$G_hzj4==hyl*jB_xzI@x<1=@^a7gstRFEB6qp-smgg6+>^J5OPwK(rFyKs5IJT_&t$Ko-ccPy~^PrSfzQZkwk9z6FaW2~-=&Zc2wz&mfmUL@q<`wwvU{ z@{<%6=*$KWue_|!irf;kPL7K$@U5^!QU7xm@@I;8bz4=CuKk|Z|C_&m9?DC5y-lIYNKh=RaJU!H5SKp}&tuX#b06e9<=y>dz5$PY_8+ACdsl>eKWO2~W{K z@O*^*5c1R^#ts+@Rcc-z@kR4rbS;)$AC!>d?Pb_JM@9*N)qbE8$k|Wv81X~Eu=o!L ziVLBjBS}KSr`LYJX#0zPmvNh3Vo0h{ogW2B>c5)+|1Xb{9Y3Z&8&E<7WWeIY4`Fy< zexN{D`QZ?A%nwn-1k^pQBxd17f6?~yfbP{5opk90bqWQOaufBUBJV*D9_TPF;`>X5q+0D;>|J=nZ)xMz27ljO7 zR`Vw9pa8`5#Si!CyH_xPbbnu8efsG(sdw7BhA#wB* z`ubw87k=L4+kEn45bhsiV85XI&tLKWGGCB{_<5y~e~ zfTbT?{->W7KmN_`;nYg%ErFA%ZU1&(D}SrgF)h-=-=1}KU?a6X z6xn$c+i8aQ)4$>W{^zVd-rwM>?!WN{p9k^9LGgOd2sq2q3jqR&u@#Q5=JOd&E(D5N z@YIUNvBm2HzG(WJkNAR>y>h~rNAQ2|5nnX@#v@*7^+t%V*UkMUf1}XQx7X%3mf&B$ zC{XpI38A6=P#bM^C*M!t1^Em58^3=Z^;f;T6fZId2+{gh{i3h?{Mp@K^a=9`WwCzL zo)zhy!p;{LetG96=$y1m4@GRXLD_+%1B-t8$!Z5!`7gcw%00i;nGTDzZc{ivgaFp> zH@fv8zFsgdy$)sC|74^i?8Mg_AD2FN`}TfcjQoqP?%eE71p&%{eX9V| zJUD)FH;nN<%)4Cje(?l!a;WuHLaO9*{1X^`0X5uKKgjeFJIsC>s>)TiC`Ssyn9WFoT)89Tdo>#vXG2Y~rpGzdKl8RA);&DF=z9p`FEhs-_hZk|>r^OVM z-yX;3(+gyZyJ;c#yZ7zmqNuKa_qG=q<0&wGEn7U>em&r~m;dHVzRrMo4f^o3ZmXew z=N$ZlBJ0b%foQn?@pymC!yBsKK|3=`HumAdDG7cf1ldV{XC?kXLZt-M!zaI zJsK^lq5|3f=aT7je6LjddJ5lud;ak~vg%tG&yjbJ>3&Rsdjh%Z<(y-xkV2)$mJ`DOh6f+X=7LS$rt_}A#D<6zO{uT0k0_6w}C`U5C7d{Dt z{tn6!PsG^W;&Ioo|Nf$U(CTegJ{;vJ94NU%aFyyf@s%eQIyaJ!Da?>d}ifOhojQSk9;cgH%sd)vWVeh=;5rsd<& zj<}z7V1;R>$H3{+Tj#-=b8L{-!ZR){P47cQGW;R;4Qz0c5l=2(P&3ML=_GP(y_0r^23vk z{+?ZjbFXLQyLR2%tb8=eJ@y=Rms9Q`0uOfb;R*L$JC6D;DdlZaJ|x|+`#JaK%l+4+ z8}`ai-nHM}Cgr2ijil~}+=Ej>(Aw{1$C0l@c#l5*oviZnw0tz$J$NN^H(x?*;O~WW z-^DE7*xtJ~-RD{PXq0;x!Ep92pAf|BLGa=E<#$=Z;4QyLwER3RAB}d8U5AtRUB|sj z%^#k0-?i)TSFPuLyY6jPJ{sjftX%(FdK&?ro&+IqIla+4+5xdwbSLqa5)t zquiYaIQF`fKRo4#*E9J&lp|hW?|qbeo0X47IfzlZZ#w!;x;J;?hbP@5vg+Lyu($Vn zAMM_neK^{Iujj=-j#IB^!N;fFoA2g5`|kT3`kx<*D~j z?zzjRe!oi?lWc>FK?)#3qH?R7Kquc|NLOz5P;#KGQ_@w(T zP|BO5`+XpQ`pH}+RxOf}tduaFh1>Z%y&&@s@^&r^(ZgqNi$lhYoKRm;P0e^>Q`g5!IQSa?xAC7uY z4;g&^zWkeSuGn|&y|-5HquyJy4@W)r>79NcSJ_v6{p0Vwx1qj^dT*`XN4>XZAB}nh zL`8vu@Q96q&lh&|;i>m|sPCcP=T`5c-sff?j(Py-xkumMVLkBqyP@9OP~Szpw^r|? z-dnQ|M?LC+rh`wQ9`(DCIX@5eUDSJf!S_+`t=T_Cy$@_jgxWQq9!AXXPdoi;A^2U| z6qyG+>JcbNyn)R>`~mcqT;M%>0RO&7!Ozq3Pwj#K1nVMBJn_JHI~DW0v3J0zzeDXJ zu+Q7<9l6flrsbp24w5Y1b>`n&#;mtk^>=N&x2TJE9X=mda(K{=xT{=m2cGQTgY zC-JILzK?RR%;hFaKk#p3{t6$3MWIUEjvR`H%2db;$lme!@Q8 z|Noc2ppSagPwp`}3}<{kIu3k3We;F+hhw zU$vhI?$6k#zx|t=LeJOkZ~y-9s9Ht8(i!pW>{SkW>FpPC(7&Tr+86P^rDak*E22YX z^dK&(AEG#op!#5%q+xIug??CR|NhfYuWvO%?eIVT0sBP3$J@V83{8{tr~420e=J3T zwdwKar}FbP7G~uB@AVo4T4Fr?`5L?|)cbA!_y?G=M`>#c6_@|lUpR*3IciQVNNP4) zP_sGB6U1^w@B&Lv+=~C{FVt#5W0)`}1eTi#6w9$3gd?$F!^8R2iX?yfzhV4;=M1E~mN?91~2xA@mTo%+815k;@p>z}XWpR4wW zLhLe+VgyPMKfoP7bQ4sd9DgXg|Kr&S^!Wc1_oh3KVp+T3y?jS%sfGG584T6kC1K_n zGs8bHU`8`z;Ofr~J1Q$PE2>WFQ%fD8cona`S?qBQ&w3Vo^JfFu`P{G5BL~g@$FGJxFZ>2( z^5@F@1wlM`d-?KjMCrx+S48Q_t19w&k(a#trKIYgYUtPUe%IhXtqq9VetrEv)^ve~ zU$4yP&GY~H+VA{=x7O#cA1^ouTlN>b@$+`tzar-764q5g9FQ}ioWchnC4DC-P{3B}pf8c+9_0RGBXuteW<$sJzKWCL`mp^{` zk3-y6KXk$0+T;aRWd|z1NY-}wufP8#Yw!(=+v<;^zn}i`j-MX?xpO~g_J8|W#C~%7 zzWo`g|BJo*hq?PdKXLybuK3;Pe-oDfu!n#Dp$mZ){J&7#MXA4G*S~%2>)(Dp*6J(d z+wxB`fI+Q_;}z&N1&F|Lz=fv3Q0u zxts0^x4X;l?^N99hqP10Z~xxBbbCJxjzJ=Dhe#|vTd@1j_aA}3HT?ZWfARk?1qOP5 zG9(x-^XBdEkQv!#?z#OKezh| zyfZ8qEtr>w%-(wqG-bgJIOch0!DnDbfS{KS7E8Bp3?s<4yx)CZBHUsP@BV5?^EArLizSysR#{ehU17^-Tq

!RBn|_iO#*b!!j=wVtQ?YVnbUySBHTu^S9Zz6;aW&2RNy9G zMu}9(omyQEqwXQLAsoLQ@~DeH0>|{FVEKzs>p=~=fvkpcT<0kvx87zL84O?MZg;R< z?h(&|4Uzts+mh#Q;1$Zc#|xfql=3s)pD}-Y1oo-)7IewJI0h^DO7+yGnoRI;YBbOH@fD60v4```HDBk&*c z(%r|@^;bWv`(>P`Y9#VbT@Xja)QqiTdJb^eH|_zfjwu* zvo4M6_#i^)s2-K+O7m?ic_(+-+|iTy8O~OYTN&1_cZKLL6^`An>Qb~G;Wp>Hq`gwY zyN(n;0#$DtZt6J6N7>48Aox-Gd=e4MY8+p6B*&KareVk2i>r3zCbpmuYUt$NtB|JO zb-8k9k*Au7C4TC|Ji*TGDngZxzAWkSej;?BJV_q8VR7=jO3O308=(2__e$ji4Gc2$ z!0Pp274OkVK6f9zRg+Cd<1&4ESdH-S7XP2y0Q`?w%iXuZa*Qv`uEr3GQ;e7`Z5_j9 zf;Tt88VWJrhUDn;(B}*L5vaFJ-iLTOp!z8Z>Yb<*nWinHkkN_I7g%ZAG2eoAu`+T* z-)To~!IL;F$$Vo8nQ?UML+^YG3%&L}0?$KIyt^aQ_?mIOe36qxro= zWCYZn$FJEQ+KEW0HwM*YlHMzH_MWiAPjgcbo*}j$fkz)+RPF-p7jZ?HBjCFXAK;SyZDy(w&XRLd~1?44FtsM=Prtg2&?auEN)~$q|3GNWUZpNs9m+n ziN^3Fuwl|j9T>)Zlf=G(nGI^_NRn zCyGTBp4UG0BR@X^FObw8m!0Mv)>7X{GE<)HS|~5V&zG3S@34cWcT$Wv(cl$HFf-PX z%1}%Jy_op5nFH={k!X_WC*^(w9vF0nLw8p?Q|)2Tr9vm3ACGQQ4)zjJ*;8r@kH(uc z76`XEg7oT%)VgD&b5t^32Xo`fZ?}D0tb%_8vT&Lfl}d#A*|T?iD>^6q~G8Uihsxh)tiqz=O!gCsId zAS>@+)gmq-(5+pnfxg+rxV*^rWSdFH(aM-Sb5G*rVIQb!VZ!0Cd%uZQN8R2KCbv^=g7?B=ZVo!=c=+CU8K>Wj z$?x>ZN8pbyezYsvYAi#+&#ajff6o}+UVC;D8izZ?IO65mQx?XpPj$AR(^M#{OP36}Z1>tFXvu>>(K@VFy zhz72wE%vSjHD@jYB`CN9wV%O9VAt>1u%ySeTadLjIXTWl(cYfD>u&Syif-m`o1J<_ z?5px(s=$(?8V8tOAeCL%v|JMk7M~ zU>*njzHJ2&b9-NrwO_62BfB-PH>5O|T8vs+mb76m7WYkRkNbHXNs)i|AyRji>Szes zNZRTXQfkQgNxU1kdcE0D_mmVCNI4nx{u#@ZEd``)c1g0-k`mmH(=}sda2Q}IG3owY zlqPWf0o{-VXh!?n z^a^!AO&%@)@Mws<9iNGb74^>kMyfBu%`k+otsx zOLI|?9od9^j(5hrXX*t5T9+&G)iHdHFBWmYn6LVRYMBKQQit37_ymWyZ|nKC=G&cP znVlK3(m`O%{hT7tuBO?~e(@tIu3RC1eVefaC$o~_9|!JWb3($wVXO+Gh9nwz2fIb> zFb8$&dU-(+T*5B!_gq*n-tYjtJ_YWqOMw92kB3j`Gi1sFUc?_IFu!j8iL`xMYk=A$dywsDyxS=X zn$!)AUCmWRe2yo78QboeXT}Xha0?ZtP7j`S;^E8iz&-+RgMN;spRVSulT@YjIM5Rd zt%F5JQdh%$!+G&SJs=$J6Po=}@B0|U5PN%>#|!viP71fN)tt1U^&f%6h6QAPHD8K} zZjUKm@+aS+0i;KZ_!@^0*@3=dCbwOxNtyjThhN6n4N1|Xr4oe?bdc%8&n}8oDIb9n z)0Haki?R5sST{Z4h3j7RZtIF@jik8NXZ~J`liem?Ta09lw7`EkYC@nIxk{=>JZ_p= z8~6FJZ(N3bKD602hCm$czC-c@wdJpbW?@8Ka z>yP5bt(*&`Xn;>gN_~2`5CD!wx8fAmUU=1LKnJ&4Gz`AK1k9VhMBlKyK={Z(1D+3A50~ z>&7m({2p#T`oaD^W|Y^xRS?jO1&M*U*~a zxfkrSaEqEbm$UZ@OYO`UnEAdo!G$V>>nq5HY~b7{!&S!tbzd_IG!{f!6w|(_lXhEl zYi5iqyOlH%^!9>gPVJw+)vb z19+|^bv;Q%OUZcfd#q3BTn(3Nq@Lp(DdSab#bgskCci22u!Z$b1q5NnSc^3eh1Vas zrG4g5Yb-^xfFIMjcs@*n1iUcz*sfhUD^vEQ_$5&D*TEf6>+ldrEy+}DV?r0$%WCx| z#+gM)Jmtee&~LnKwWkTcnF4ziU3li1x!wls^{z|_u zMuINOori}*QK3p3UcdyCneuS%>O~!`$(i0gK9u9=heKNvAAtfzU|5IY?L!$3D4f!; zK;Bu9kgs+NOV>X~>Jg$Yxk$Vezh~E?BYE&g>1wK*tNVEjzZS5l56#`6kHDyhsSZ0; zWYaezM{pXIpam+QW|ha5hGfft1k>Hp=(`grea$KtLdH5e%dIQPm9iM4@n1VwZN< z)vq8fP1W-Yvk*L<&QZ2R(dF{KxC`;v+>pAWDU4>#fC2?M1XJLn1C2DZ=aWeJS|eHD z7<37$hi#d-Jg4y*>92hG3>i2Ahsi&2$eijJLN0OC@e|W#4F|#{T!3vHC-KC?%swd| zPy%blHe6b0!1!eXNCeLKK!2vofM?CuS(?W}((kv!1lk}F6+RA8*t=kw!UhE|hwojt zx6>N8>jAYo(9$Axf%WMirh+Vx4a7_jF2qTbR?Ym2+f?47?T-KA^sH71OagXznY{V1MK|U|r2Xy%fRUIc;k3P~g;aC|> zQWEn;f4!wl^|d1QI1u#kQFmtRj9|4HYV}2b^z3c*>S!Gy9M51`?_oW3jO6*5Lkhuw zf;na-a~5GyG?f4uySlrv z_Drn1a}XD|W-ec6>E1Vuzk_JDD|Uoa3o~!XJ;@`54xUS#uJ28DIX%sCuPIc1@2YA_ zA9<*@CYDe(p4Ag2I%Y&LoT`6@T-sA-jBpAj&qu23wwcCjM3??b+Q63sYW^6JVsiAl z7wuq7mXiwl+lpNd1Yve0U_IKFWI2~unMS{J2m>H=%i9CS(?U~UJt6@O_bVsx^I32d zpq&hlyU(ESv5u_k@L(tE<2HT-ejP~X3^y&P68a{kZqe{|+VtU@trJ%+J)~@jQ9dbci!U?1P8}4Ed^8HiZh`op~ zw>9TluGhjfg*`nqjfE%tc3DeKO~m^Xk*^nSR2n}uC7`Fjiy}LGwr^((Ep6bf=bvUT za0}cmG{F->c8nt7PhbE1b;Lr5EZ~noMsT4?@U|ab;S*kcC{TY+@gLpu>Ta&TL_jBH zyACjLahdNNR%86DSo+6j{=8942D}P#fcsc^_=A(Qf{w72~(PTjG;QGn#50AvzOFV?$^d<%{U` zJ|VxQQD|k%`JQD34*>Spslzff&7j6v$X(%6<L4 zA`!YHxHHOrmefUXggWExnN|OcjRr^&F4`pa3)S$~^lx$77$bBU`te{c@C=enBDO zi;#Z^f~vmNw{{u@+-1TXOUitCZn4r1~#?ICO>r3iDvKQY3W$E2h*@aJ=7f&x!m!u(;i$7_mOyEfgfgI z>i)akkihj0eiJJuf4%6zx#Jr#2!Vo(x)ol04rUm*pks`L#5lYS8vz1iC?S9P*gLj_ zG|pWuXsnHlZBW&9(wKIi!cqt>Ny!Duh=lYo{1rF}VLEg|%iA)g%+@_|f*??pqb`rk zKJBle_g4q(xCW*V_}G1Iy!fum{F|Wj$Fb4i@2>QJ?8y-KvG630SW z6T@9Jo|X7=dP|K}8hXFSzPg}KyWH-NS8?Ga?jX53Xy3PR$Dymh#upxJaG8i;z5XJc znkGG*eK*vr3irY8M@P=e>iJkos*ooNCLS}bPDmK`2*@b7?)>bZfr^D)U?c$NEMoCZ zG=*D}zWe%|6cx>?@lL)*v$sP8_y*gfGjdC`IZA<~{B<4OoyxJ~v?i+2%SyMK8;awQ z=Mbyryjz|>87o_$MNEF?q>MA$UCl@w3?5Ww(p{f?((2ejSeUrJdr%?B=}j96>$eHu z1iCuj+X4eyTB74E0SOQgB`wZ)h3#*g;_DJ|6cjVY5KcSAK^4RWI_V@KbA@9RMQoLV zuEN?+($w?wZR^6ii|v~{?8M$9T%sJQfT?4)!;jC9H){5sV+E`w1mLzjY(1aF+m`*)SioAxh(z}H~9z>@9%7^($^nk&Oy zyR|kWlANa^U5#J{~y>B9J)XS9&bm&Ww_;vs6N(B@|d@T|xFV-J_HcM{mCoH7nQ_hXgh6CyQ0V z@v*(>(0_P9iRZOdBQ#ZkID{y<2OQSQKtG$WvowYZ+`=vP=D6{lUP_3&u7q+#>R3a! zD{gSa%M!MrB)IYBaWsh0tW|ewblopKT)`TyDrB*ObP!MHuMoUevs_Ucw*)Apry2V3CFttxk)sl3xIV{0Mv}_e$=G zTTtf>4tjO9-c?k+9;1oH?Pa~J+r@}OQ*y5h^a7?Ls%WKxbv_wV1E3BS)|E-9zROY# z=#N0b_7mWq(I7?Z-sB63t=P#L{$AI5&P|~OT;&Gy%=fQ-Vc-$mTUZ!@IW%71z-PAj z=6IBz0kULmr;Sk@`vBq**P_Eg@VpnIb_Xcpo9dY6sUq_IicUMGVANwjB_GQ!@G~ct z%PeI|^6BB2$0ql9EeFc&)}Cc!w!NNw76(LG(66i4kU8YFRFiLdQ;3cb-Wm;=?(p?0 z-LJXnw4BegwMbuK{JU*LeP$HQa_P|_&lv7BEql<~rkSFU#-1JC@Rwc*So9F#$bVX| z4ng)x=5~XilgyZ+$^Z(zsjMOCL0zc z$}jA0mL^uz-PSdBX>3(0_pNFOmr##ZtOn>U3jNOJjt&$7@&s~4rsOQ03(97n9)^vr zNcl-!%#5_fDLZMO}?p8&owiea;#)kYV~%AptI(?fFf(n?+KDBc@qNvXxdD_*)0?t2d-PsDg2UiU;< zOo1=JEyp*w<*ro0zHo`qpzI@vPBuZrA#D@z%>A&hJ-i zS|_U?Tx0&bM9N3u&l<{|%V>Xk*b(HTZG}j{t8z`rXz$`4yR5*seBP+X2^dS38VW^2 zT}Kn8UT6e!fUayvxD#lh1j4{&5Mwbl!x zx~SLs$c8?PFYks`UkoZg;Fb_X+=t`f#e_QbP+CjW9bM4A8Sq{ZZsfIzL-7VS$`3-$ zj_wY#wMnf_d9~~0fzykKue^k(``(eepi*fWt?B6Ex{4xqt-G zMo;xP?S^j<=60j(>Y+mySYc3%sdiI>I(A8tdY~_CYLeA@H;yRuNd`ngrrok$9u4#U zRbRE#K$REgmuFwg%Q^cIPVCg4)O}6H%8e80SB5job@ee%)HeV(j%`c$MplAIPvitK z!!kSs?68+seHP{7G9mv0%!&(~6eUz$gC(Fk0tvhkQUm2bc_^-w$^96)CSCg-6(0B7l=ktkP1fZCtkZtR&yB=5LVF@{g zJeC8bMiO8}Y{=V0kZ1u3PD!iYc-XFH7k_i3-N|sJiCr|1_n|pW2Iq-W`vZU=IqYRL zV0htBLdBnd9ejX`sTdxosWSb*^5BA3erdeq+@21)q1GMoHkA3*=KMMa}zdnC$U0hv^m2SK)o2 ziTnl2kqSsgNFgii)@4k zB&g)YbCm+9rB+D40D{s~5N+bMKqT;5%Tk2tisHZDJ)?SlCOSz;E1qkOUWw9S8hVBAe;Bz!zBIu4Aj1Qeucw5GFYGSex$(yumMG3M$ zQhBM_yJR7@gg+LLiGIASZ}7+?#igKG5C!)=Z6u5jYID@AbX7}e@fNcP3t$YK3@br$ zlyS8KHlpD=fyWhZCg}hkfTqtK2c|Rbz6#dovpf|lc8_=;Ahr+(NRi>{?Q|KCUj=yO@P4W$U)R`B~-T894a!l@XjwD)LS{P8mIU zm^(m`)98Ub1gNGWLKLudOthM>T_&G;74m#?_LSa~P0$njTu-NLWZxlo*iKgZa@?&s zm(Va?0RnpyALC_t-(*oS=u2N=E{J-ye8jRgKQIa>t@TO^1E;p=b_jeZoQphP2Pp4-*jSTWp-U=<4@o|TP%qhF3>XGye9^TU@ zo7tD%2>ityh7CLT2PISei&(I9z8Vd4nH$H(-&JC@^y|1IFR;m_%?b=6S%;X#&Hbr0 z?8_VmG}6!`LcL_H0SVyKL*%$hhgb+Z$m+c6x_D6-(1YL*t4$SV1AhQF(Z+an9$)>~ zG<7;BMNwrQhgg7HuwSK)WtF>-VW#?}x|P&SPKm>lY4Hvc-jkiP8s8j0axNe|EG``Y zHKA|g&Dyn$n{lnSuInSpNj`_u)lJ<=h3iEuQIY;CSi04kD)#vsiwFXoJqmX92*p-t zdBDVOCuDm9ZnQy>3`DHgr74$qi13zg9;sRCb1C>Y8Pj-4Hh=P9e-I-Sp(S1bZ?zQ- z?Rc?09M=#5Z3eIae6LY4{JJf7=yG^^Ss%8_w5Lx@ks<&TeHU|+wMDfo5C4mb7};tw zR_UxUufKTr`f_7hyF36pPaU`kk+wKYJO^{OJA@W!TmwJ}+f$o;kw_9YO=)Skkp_BBzRNlVb+{iu*sZG{cMPDPZ%uB8F_o(8%>#ay z0RWkrhow6TylhE%7zH43Q+H?nZfvfzhNI9^8qdl6G80z7KS;avXh&)1#T&2fd#@oi zsSrQ$cgDKt-qG^74U({R1BHOoqXQQA8|#PUYg5A1ahzz8XyDZZBK4Fbon?f!ZG(X{ zykauleHTI*eq5mOAWW;=xgx1x_FV+N(|{3H7*K&3 zYv^pn&}7n^GU+faueauulH5%kF~xf z-ZU4N_yNdcGIHVN`iYw%;L~6mF~Vr!sydfLv7m00R&kAV-zVsZ`OB>2z{Gin@minf zCHgE%anATbZ3RB4J$0#lHHom%a+0`jW>&gAoCPz z7velD%;)9&~g`7R$@bWz?UzWw#up2LXAA>6__44@8pu1_=l6o%;W(92 zzC%3+g83bI66^H^NYTJiem=M8Eq4J4yK>un<}em|q%WHMl$rXu;Yyl6n;lTZD1u zqiCAnE-5RXdbTMNozQ@#a|6dn)|OYK`x7!;iSWfIQc<)}d75V&bCz-+<$9rgp5}h` zu*2Guyxy{7nS^0P3DH+8(+u((+7b-aMS%YKe9J=@gR&0*4oLTCh;K3^>&;f#YaMK| zb5NN^z18j8!|91n(j}2DK8!>j-e)8sZl-m>2`)x(M-;RH?`ZbGn_G~jscRIsSPiJA z#de?|A>^pIhky0qnUTI{IRYHU@$3w;ZmG=&*ETp|!Iu|7;!tBv1x*xN zz_%ge>i%{cjmhxQL}pP8In$TYKHs!{SvO;A9o6_28m#GlXZtvJ9FUO)4E2d_pO02@ zWAm9jHc*%cswlSE%8CpZjH{SqD?kr&w0NaXFIB|@5O_i5Q(tUH_dUz9awFCPP^BL? z^|mVF*(lI`5kLOUD0}7FUxg9-@7u-l(9c%f*HalzYL)|upJ^ax$RtN?x}+8 zHqjx|ndN|$=}&@jH($<|V#LogIv57OIH;uP`52TpkAbhfVbC>lh;<8*0tN3^KrqQp z&EwFn*{O}ZjSuu{B*~PL=#8IyXvC2(L_ixHA6?$p8cmY&;T-%-2suNf{%r{LXA4MA z&j4XDdXt#RBzKkP4if>^(Hqa%IVIfmXVd^@pzhtTwxObagA~A-|C3T2k0dc!(MkMO z_na0_9|#~7zEbuU549OpoJ>7;NIEqlTCk)bVVn5|kJfG<%q>*dZj<`mL#W3JYq1Gp zVZgya!yS$av{1r|I`cr8P`SUpV21AxjUV%uI)G%)v-c(7Fuz~lD4=21rPFg{(bR}( z$!Th}7quCdzT=51zMAg6RDc4luuegHQ5(J_fE3kQY$b*Ssu^{pfgQqFPnEa75xomP z%3+UgI0GlW3%xUHM0(nT3&@0N&a!B|$RLxb0oHJy5e5*IbR^fzS(UgA_6jH(0APsr zbKv$bjh_VNefNgS)zWtJ>iW`GuK+s?VO?y+L3tNz`aNDIwh+A!kLUv-*TB*NrvXup zb`AjpGwh7Cq|LVe=9dXEa7>@~9bbv|WoP83#3uwYGUx)%fH>UY$yoK&q0b`+l|lq0 zjOUUjo2Cx6H7r>e?uMI+hMm{Bzc9}#P+^OVm!SvgD^N0q zQ|RvTkKDE4KN9QBHG^E8yLwO_Ua01F3b24e6M_|Tf#>%-QM{|~(NnJk4FU9umF&VOyyWuI z+8L0~8|y`kV+k_%@cHv6w-k@AyDPB9CNddR`xEdGoX$j@}4ND z91-Gub4m(8F3wlr+EBN(kIo(Rv<@Fgt3C^=p(=;bG?{4;|IAKV0pMM82WUWC0WE&$ z%HC;J%Eq?t+JaD=D2Q04^Q*Ce7O4XOzYq%ReK<~ZxdGH94ieQ7`Yjjo^|62a8k^1Zc9b79zIkE+Nxl)H8t|1! z#7Y5we%(7ZY8b@(!Kh21dz28My69rlPK}8;zX5wS+iNc)Q-+T96QaV#^C+oVBIUT6Cq&!C=B=;@17%eSVlK!%-v{w zkRgBMFDt>Q%49cz5Tl>i*T8YPwk~!RJv)fVP@v!xL94)0WS^eFa%KOFR}at z{+yw|KnfvbO6;!%Q#@i)RP)F1!DKX_jS_Al8pRHvo#={S4Fso_^DU`aA?{-vQ~U)IRTK9Ms<8bL6SN z_Aks*dr!8b%@rdX8J(5z3S;Yfv%Ip?ITeXwhn@J=G0U4`Ji1`L-Gs7u#=pk3KXdrI z@>6ZGsUs1F`CV=kDY8-3*YQ>jmxg=9DR(pPGmCRz6N?<1ONyyGbqcWCHS%5yA#~+8 ztdNw?WJ?vRPrAd|kC)Wcrrh!vhjPM_AjmL2n&(1jRX_lj10Zg|(%re^ih55_qH{I< z>m6z1D*st-+*+WRZ`*17LZsI#2;TQ+AXk>P!8ZpgfC18RE%1gRI|qH~#Y;eC0CZv- zUjO`+Cx!4;up;QW5-{d=4Lu_6yu%I%5DYbdn|D*QtOE}gN^~p>+(8ZlR+M2X{IaL24slT7LVfUlUTkQ`*_)r$`2}TCbzA<);)QdHc58 zXQ@8!F(~~99Pw$UO<2Qn(2fv%wDoQ1LZt-(vzz*uZSc9TH8+$dCV<9p#E-~s31ek zbYhY`!zZB4O&~;CErYP{*CaiaJ^-Lafvrz1OCH7k=A#X?*DnX!rjP*7n+39K*dksj z{OissZs?l#mZ?UnmxdH2vZ5lrH(J3%5!Jg9+wi*P7`28kV(NiMVxNN~8ir7f4~M7i z&@Kf^EfXr2zdh_t{7ug{N(Wd9Fa<^L^5pD*EZ0NGvl@ZbRl5obs54vF?1C^lt61QA&b>IABkJt|K;M!bz$eu1V(KuQu39G!qWn ze!a$g4QTWG!%f)$05HWH=CJFP0(o#{;qy3X=4TIH2 zM}9!z?pMMh_fU0*<@n{a-dJTXn@m|HvNeSlvkoLpkIyQf(jiSP96uN1h}8D#$hf+<9dT1(4i1$V6y^GuOXT!E=b=`$`u!sBtMLfl^Y^5Xg}9-5yISV5 z3&mWb;r((o05+mG*L)Np=`kIEwy?3=(%#?i32=y^d^U{Mk z0J5qLLcT!l^k>LXajOv?lL*-GyMP5Ob!+u;iU?I~n|AQbgnA;YowWzjrk-1jvfjZFtbiu~^w&q=6+JN$M+q1t)vLm4jcMsDQw5kHA9(oTpb`)^D7G0{|w9 z_Pz1vi&&ji5a?CGEtyt-roiNe{*B{s{gga5qy z=9hv_N`@sfQcUV0>Zb?&oP+I3UX%b|Qa>I#X1q7WIPU{h)_ibh_9MQrN|WD(O`#o7 zDnYH8y%v*z_h02eC|a;7ArDZBwr)Khwv3kJ+Dhe1$a+#W06`3)Yj<})6q+tFrKNYG zbbz$tzPJtWBEZu!RG&0(fc$~tQ&EGo6>wqRxdix`(mEPN-uk;j z6li`XI%Hp0M?#Q}c9?*)-bpi3u}s`CIJ7wL(x z)V58=Bov2;>9sxG)H_m)kKyLFJASOUWH`?-pTESJdIkgKxr6{fkG$>5EmTVCd@71 zzez4@6hZwEs7C|qaLoAns2=$p-fwd`E`Y&~>szHk;OPlqGs4F-uuyv=lFd*SsbO9X zND?SQ#4z*4tA?-DlP7!t2(V#>)LjD<^?4@P*s&VBmMy|2at)xK1UC zj{gjTZ*svQd>d#_Apx*V$;`<9(#o6lpcD!!UiAnPZ+Hxa{Tc7~W_NJ!MPCn+XSghb zK@NDhooQt7w@u54^BaI;fK4LXP^SZ+mWneglZq4MI!}V2$YNj-B!QQ9HJCM4UImDd zLQ{2Yhm_B9WlO>;ZKofD-s1Ek_$t^+;ps4@JLk5e;&_v-CS0+In>!g)A)O3TAMJp3 zEM0Z4cD+17CD466`jM*kXtc1hg*4{TA|y~Z!*?Gd=;N;FDd4vCwty`3@!PdfOVlvhXV z)9!JZvdV(|F4AH*-3x1hl*aAcK}Oj!Z0dyfuqihU@3@aiQ--)i6XaFe#CYQE+l4MS zP=WOkIG-ka^AIw6be6)0;NdZE1RD@632%X;h!8b^QUiho=MYxSX$8HOQ9wQi90Q+b z$(Bp0XM3ON-1W>ajo(xsd0bN)W<0X=dB83x!@#hnfK(eiu>+Y8M=dm~OXFkOi$I%I zDPoXb*-@^(QuX@0+=vka|kf{ElskuE|C^j8XcSG`HT#TS4p@pbUF^O{qNt>Fk=*7t610dOX_UNAWnCYts>da8O~z4znJ@7EeIk?||*ZPc@9X(IxRx+OUW&o3v=&YuVS-G>Li zH=ytb5Fz;n_~`u(Jwc2~zO0?!Z>t7sC?!b*>4fG65G8yNU&X5Vd|g_Aa??Kkrc3&gAm0~kDi zV&X83Wf+T#rlN#^Kk27*nD~9ss_^zjiN#|^AMUe6+73xQkgU<8tePjBV`X-65(Fn> znXCY^^X{)oxd2iH&0irH)o2H?4nG39m+E-$d&tT^qktalRN#-U^*YHBp6f601XB~b z03}`5sNDcM44AymgAUooMgvA&c=weW6l%YK*$N$7OW)Y6XQ+q|pKWu(UVKpy0tHH; z>u&c6Jl>@P_SU(PutnEw7-1&Jn9CHz5$Z_?#fEqkK?@FK1Sx>y5Zp^4~k1`euZ2?gXCkeBxqR7Tr-e!T7A zc_66S@6@9>?@zk<5vBSA{M9y>ff#Ti3-bw+Zm`jfAQ_?K!x=Ob$g`Y&FoM#`MtZ=@ z<55E~V^s3e!ZJm0W!rWUh+;u4=r54=xLj$F8UGWrcw;sl*w_T5NZZpU{Xqq3ps=Td zObKWabIiLCO!7(!`3TAYz5zIU=Uiivy!ySIHVAck3)Ge|XjC8Yc)*r$*DPOOu?_8#JoKF2-{ zdsqHfdsq6TsFK9LPsIEW#zt)Hdtvm*eRRz9h6f&aE1o<10TC5NKn@lE`pp7CyW8$r zoVUC5?0X-4JwO&k{wk}oGP5!v@eAKvOErnCnK^qhrZL!)o;YkY+E!?fZnWj21e#K- zaQ2#M-FnBtt8lh^+)&gVS3NPT9BB(Co~>Nyc@LZRo;41pEBB%3_OYb~v$GC7IQ5SvQImT5Oq3`Lys3 zQ@LJB6VAEGIh6Wxt=uOnp@>S<2h&3W1IR=ndIoSUt< zL3(9DhNOJ+zU?}r+;oCfO5*NR8}?fb0(8FSskyFgs@AxrRqTa6HQUP;gJt()F61E` zapGLIs46=F99!!d=nLdByTGdAfrUL)zA7HqEYRjOVN5UFo^F>crQRJC<&)wFHLVAS zk_Bf)*Yo>h(8D%Z!6q9B3%9c1IkHI`Y^RX$%ZIFbl@^41$doB(Ia6-!2uCcyu`{zv zTJss`Ls*@0Cw+1!fJUS!N~<0&0pqRIHx^EJaNA=?I+mseXD$~CY%HxTWLu#7 zYrM^__tSmUk@ru!Zca9cfviD`IsowV{1c%9M8lrHzN^5{s z=!w&E`_8oCESLVEs81(rmoW}FGvncueyAVHl9;bf*o^@J5p2sS`tuzl_o}uAA^KX6 zfej193cD3|R$lIq+lGD7d z80onkI9TZ6)|6cg*^y>fPvVS)Eq+m^#DiXCmIT$3G@m^53syy@r#`qE#zSYll3FFP z0_Q4)iMKk37)_kbGQV5U5(mE3ZI>)~%WAzkfPG{>2jZA(kGi9Z;g5PHE59-li&(Y7Kh zn6qkXdvVt99Y@X1p*7t34RPf0&1rwsX)$AcHd$eT=)pK@uOLtWK%jI{+tr?jA)AdM z#dlSrG#dJ|DdnGhp=U!@iyEvz!`a@i8%%vVT{mZB*$ek6aBu{CIDM+$sk20zJXxC4 zhJ=v%s)dWHycUIHZN19%oN==`!D|3Pwo_vvgZimdEaL-+ykzPX6VF$L>GZ6@vWFG; z=B5j_Z;m`yoog?K{T(#5cC z>0?H&G4l^yG4Cv_>;{wE22q;L^DPfoc7|p3Yc0hk{En;&aEdiRxjqE1DP14UVc{E@ zm{)|5YqhY8l z4M6+u_O_6T$*K8;@_KWaamPiym9upcOyN6suk5FO-|js)WNET}+*L3*{XVF-Tkttv z({ICme(8$kj?@t=YX-MGy$(4M+0e4o@ay{`mcyo|*%sx!)gSSDSvgQOkrshFUT=D& zMSR!ERXZNUV@Wv(c9b? z$W~x(KIprH1Qu|bUO*17jZm)@bP^JMdCZ&@_gtGX9c}o=vd8t zxJb1|8jDv~dx>%u$1>OvtBu8Yri0yr%OC5d{i4RokdiRFq452{rE-#lyYiGMwAr#c zC{XK}+1#`s1qLKb3HQtF$QFrleXb}FNPzp*oHkfwhx-jfaW)A@mPaP!WIB4oe!Ez& z%#3b9J2r&XVp6KfMq6CwTjaDlb@)zwVBx_y-20Z%-i-$WzGX%@Eo_d%RfU?Dj#Ir3 z2{6H|TjM;*$d1&eE`nrusccrM0XhLk!%SR-IWz8Z<&fkjl7Zzb~u(-o$5* z$F`{y`cxG%!pT+}V%Zver&(02!DPu-$IPjJf)NIr(fUB0(sMz_N#@t+$ zA^N_(hf_z6yW54LCzMUK(d2u_hT`GvaW`9;WTmBzEj=GQ;CVo&DRbNIh_xE?Q~&5x zwWcDkaL7YeKW&zRTCJfJXyO5#1P*w3D-nTFHP-&BOl!IBX*()okjPZ?8dG~Ule;ip zR;9AI8mi*1=uOp93!Hp2x8rb3xEmcvr~KX?&*^f_6>|-5AvJJ%)}PxW@sO7aJI1ON zy7SS980%f!!hB+62`gBK=2`rpbfyBQ*y~AE-=L)==pkejpcQR>y+3Ttw9ci{E-T`W{u6_=-XLHzM@xpMsYCZniKNavyE&AoT(*9DA~ssgVxyC z&)N$~EQobcC_`$dinfANL4&z;EcY-bOUyc@ZNS5IrB-mUw!?OOy1CM;OR_W21qR|@ zw`FUzA+pA(%$vL3p?R!|u{y}7YPbRKf<==A)Q+U% zYo5_wLoS0kSyINQo?l-`(}e~DG(P|jZ@pw~8+DtY+vKs>g?URca=Gax3wfAg{ACjI zB)RS(H~Qnv)a@v1db9c1gTgQxs;E-bWyg84Y|~sk26_E&-oJF^Oy9OOs4^n!B~$TG z0gYPe$@8@NBSQ;NA_ZeO26PP^5^gi#8Rj^)DCCO;3JdFN!uxN~zk0`2+t8^mb7-C* z779IE$dFc_rXLnOML+QT9D7iBYNlc{jKwi7U?aTZiu_g6H3KTJ7?9%a+--Tm&!Ga0 z@}SI=#RJ97m_lovayCv1u_9`URFt{K&cMpWH`5W}NUSq#G zUc+Wy+`lvtp>~DLRjr8gXs&7wls59OZXotS<(WrF_xJp2bOWkZp!+`t7zhweP*CL& z(s_Z*JvlNA62xFAvJzdpbUCEB5M7N81fT|TAoCoq&I$QJ0lXe4g#zp-nSbChMV*n5 zoot3`hKKE6k-z&gl$gsAhUT=Zelg_k6^=Sjp*gxLQUxPNZ~yB9b-sxRsJr~|TTBM<{5sW8eQ-&HjPr`Pl&I>*`%2b$*6cr8AXAis_r=f(SN9>3u(VP;} z!}}f=VCe^!|LUpu_#Rc7hI9P`--cnESd?=ui$_5iYw9^uZXaUfp|V{&`0LN$>aHr_r~UpA^7#G zK-FU()qwLXD2|8Vdjc=W*W5ezFTH-&%DH$EREWD>zvy|JFP^??6ZnbB8Miu6+{Ssr z0|C3b@cPNB>yv+Av5hTZ8qZEW`M{(bVOM z*X=ZAa2zewpkm*@w>-nb47Iu*4^ITeFkcuBgPgl)G=vu~ZwSXZy1IIT!r0gsjwcx$ z^x5#y)r)ZvUiA#ked=gOki_W0;L@ep`1`JUe$^D3%@7J@CF;UOf$cMBTh^ zm4iJwR6jBxRq|GS0;4ZP4cq2JL5Jd!sPE5iU-}=u620~ENLhw##D3UD1{%30Ki~UN z1B&|e>dj>tuD(x*H0@vnqCc)*8{BxC7RDT^)z)`m;4Pnq;lDD(7aW6b8GTR<9_}9!sEG_} z<9ez|u!h_@B!bueA!`VfmBpgI|F@SAoT8~fmx)9%UR++z)3;ZR@al6FBi2`5Y9x`S z($Qh#ffj>X>dNy?T&O#o)s?5BFB`Y}aap}Ur$D9!`G?Ocw?>hg{Lzi4A?&ef-0 zrEsPV{`q4n7ipWFUK>vR^v{&Rr5{t;V8$Y7`b>oW^<$c)ts)a$Ocnn7$8B3&ZZ%U0jG?B6b$QmzUq{AG8#X*Z&1#kz^#nE22q+Rz#F8tUx|85OMfx z%U8tuUj(mc9sC#qcA2G;h}ebd7Xht;X8MmJW|6@BUn3Ukt{+3l{)>QJBr|<0Spn3d zUs=W?m=n#3X$CCb`h5&ByRb6J%=l}e_6_IBOywKNXA0k_Xt$I4jTvXAawPLn=1J)E9mo-3#27M@pdI#)_nrY(F;`ND9EAgNJod4W zLx##ge3HzT{9V&!ijhMyhf^$qF8Z|8PnQIBkI=za-ZNjuY)PHe(MalWmI3VOXemfP zb|}^%vDm>^-h*Atmh@pqptX(+7Q1Ne{{YxQY(iq};4AOJj*QrnI_&U3Pa!BYXGWb& zKXxc^C^>d0C_A7^rl~}1NgH-Jg`qitRolHG;(Ca&}gRi^?yO=F$!;TJA6%GgJ z*l1Ly9y_XpCppEm?>$)jik^b7tEA!Xzim&92tR-WIulARC!@b+OP}eN(PxPA(8z@f$px! zGM@Gl3EhP&X+thBg5fOEPY5Df2vR>^-em=Yue?XKys#y0*acFDlSt~gNNY|#bazP| z9_@OPNnOm9v>^v-W#t`_XW)$Q!z_Dm3rye;X zT9cC?M?{~O47r#qX+sXqC?TPv5p=OTG4;>|C#w=$z~b*qhFwgSI_$vLbM}wpRJ0bP zAG_FhlSJ*#Ab^E$ zT(o0KKX$RLJPCHOxF&)w=1SU-S zjzvpI`eiN-Qb>XweCA!NUCfu%p~oOICLY8TagpAge(;zGhD6}q7gH3oC3V;ZF-1X0 z(Z})F-4{|63u~gaF6K(=kP9ODf&kh$LOcCJ7b|^9gzmoh*_bP7Lykw&Ww_RTn5B-t zXR^F=p^KQ3Hr#?`jtu;)AI6$;*CH1O1}B;+@rWrugNKXx2`>Vi5N<32HG>BG_W8wBZ&U ziGm-9SdC_k)Z-TSGzo4oQ6k)8se0J&H3@nbszm5r$Wn(M1oWWO_b99fem@L)aaR+e7gHreFD6SJdQ=ci2fu(G z^_ ztoW>YBEgGKT_jq3(yKWH*b!*U6-P*?e#*Qrt0xidD3c)i$u#-O@1{oAEy zzCvN|M<~QM_Y~DW*X8Y$${DBtYRk5t!Pzh9c)($S)C*SKjB3ok{pIkNzdwEc1b;fNt;*Za z@RvDTeO zOikB4Xi#=dJ40|^u*~=0MjEtyaK8Wkd#-8DUo}QTVvHVMTPPj4rFX`7l?tIaD9i7Mda>Rp>!z{r>b6eHDHAswOBybJ>+M1YGOoP&LWC zf+#XL96m>vI#9>3A^WE1=K4@_7*%gys=-w!g9lHbu*zH!8qv+=FINNhX3$80KTH4k z$}uF*Q3a|?FS!cglmGw# literal 0 HcmV?d00001 diff --git a/GaelO2/storage/app/public/gaelo-overview.pdf b/GaelO2/storage/app/public/gaelo-overview.pdf new file mode 100644 index 0000000000000000000000000000000000000000..4b5095dbd003ae6b2a2e18cd88d94aff4df7cfe0 GIT binary patch literal 288406 zcmbTe2RPPm`#&xrWEa`p5?OKYA!Lt?Y_j*>d!`5(WkhCX_O1|;l@XCWvNE#wDun-A zJ)h_M{O}h>)8*n4QlA!ula6^>Q({I9~~hM39q$|Mdewg8sBX4*$@QUyF7;G8}3dbG`MIlhw{a`2<2D={&g@8e@=7S?qFzj^^a1F*Ezi2Q5hi5bxiGu?)7=^&*84X6GaMp!@!Pv4#Lm*I` zbpaB#tk4h`3TM9%I1YBv5G0Nc0yNxyP%sWo(NHi7hZiUW2fJt}6p4*pG!*e)) z`=M}U4@JXpWCepDacQ`^g@(akIBUS*5F8u;ijE^w7z%?UQy2z}bCxg+u3X??49;1i zpa`6GQ3xo`e1PiX@B-*QHpT%JgkYZs1`s_q&lo5MXAQv012+8s)L#q+f{jxM7>2~g z0YJmSE(8n*W6K4gL7-Us0BBG+mRtZDFya5n3qZrMIRFg_#*!aEL&33ZB0vMQ275lh zU17-&0;n$%XDkB8c0#}?6t;~xr=f9pL7@>?GKGMFW5el(f#S*qI3%odgMa~>h=mQv z`G&Fg0fC@U*!zV*fu*rz0MM{)1YljD*f!^!hHYaZ5I~J^c!42tc>(kYXI&T?2Umb; zf@9AI1s1`^0ThCSV`2QyHgNj^!pGV#U=6Ti24HItoP7W;8I3JdfP}(6OCSP*VdDh~ z=m!peFeDD1p)eHgx^Ms;*gV4_xci0U_@i?VfbBm38X8Bppl}QXXAJ~S>;r)!fWQx% z7X%!FBU8YP`U?fjI1Yx;C^&9EG!jQHfZf3184x`V ze;7E9tpm8j!5`2Ij4fw?hNHi52(GTeA-HmZ1AZTSZ*V9ShJEG$4d*Q3P#BI3;4m1D zeTDvAk)j_~*Vdn-AfQI9b;3y!Az@85{UL2l*?c$sV8t|;xV*wY1!yg)n9UlNeF$%}m z!ZBbRTLRFqV;{h@1AxI^7r-Po?%^0Tj;%w0Avo9tTsH!HK0teMbP#aoI64SqYX5}> z02PZr1Q6F@%MYO8oCg99IAH9t04TBb5&;KLj?)hqjZH&e>0}2Iz#ln^;8i8Yn5Euk@UIqXIg=1fk5HQYJB7x`!TW64fwqn~;Bm{w@ zZ%7CbXk+n*gkW&?0f-*Q2Oyy^T>hYd6UCknaE>_q!N54a8wtb7yCJ|y;>0fi4Mzu& zKrVzWXCw@bgCQgwffLsOSsqR-ibMdBEcQMS5FDH$5rAXG>4#GXft*7UTi=jKTpJ6} zu=99eERdmNuYm-NA`T6Md**=6#?~VwkkjGp4TZ!#BNPfJw?YE89b12qXb6ryKmxTJ z92@|m!jTmkjuRIHTf?q{02KfTb}o5NL*d8;NF}jt1W;bXl?w*fMx3iYwyXf;;_wG} z9-KG_FcCQZ4F!alxbp!O7_4(cfpK#%Aaulz34sLmysr80oY>99*xJtAg`5bOQkb0I3SdIaoM?0L+~?C3S_Qfe00Ykwi)%q{LBTa7nZT6fF*9aAJ~R zahSLyL_`RTl$1b7!KBepNi<*?G2&3biHS=gfRqG?-6f<%^aYe%%su{8T2U~dwo2;i zX6)kjw~z`ZC*|Ofx-U&m`hQef$w{H-_UfN%uC%qCo4JdCw4Je=xum(NqnWvY98j)B z2q>64Sh`t(;83uD8VH6F5pi{MF*mj+C$*Z2RJ|j6QH<)<NBEG5&5ko zbjW6%U$^1GPo)|)E;os1Y2W0jeN0Rj&aEc>?3EO$*yRXX6H8<2az*2q<{MIW#S_Aa zhdh@;+P{4CcBH4n^TunY!}}hj^@}B00pEZkb2BZgD6a2vFVoYPr(4Als*z)}-}}HT=DPiR6n?(*ZMgw@6NnTu!dR zy7&eS^+!|56yEiLGI@IP)S!STMBk=rj|iZf(}eVRvOD2G!5*lx|Leg1Rc}9s;J+&P ziso*{X2x#DAi!P$SX4H)Gc=c6C#9G_$s_HaFvv6a>nZFd)SND&Ig=2f+)5-2#JeaSJG#n^_zG zUuRV|b^+M_m%LQXT^-$BOwC;Zm6!5zlTmX6^ayCnsDVM}p)Vj(88tvWfDHaN0YUs> z|C|f~okt0O=}^$Q*ZSLrfzGQMf7@`-d3EG(8v*FyKW!xFJTm-CM}f|>_`hv5pt1k7 zF`#n~_lFJzod;Hbn_$qn!~N5SfzD&5KTSC3JWT!5M1an%>7OR>t<68vp+M)gsy|dT z=sZOJ)5L(zqY#j)h=_nRaG=1}C7_1D-v2JFt_}wMomE{O0{T0tx;hkeo_+lpqwL~n zs%Gw{D*$}oB%p5Y<#uk-9G%Qv+^qk|R8az$;LkW<0W~XQGiwLSbL(ma2AyZw01IkX zz{LNspnc!O#@zIr3u${W=sf-adPv(tfCc~E2AKPcuJaebo4?>Xe*pM8PbvQnft_Rf zp9eUwp}+D2x*>pvzvlovAOWcUWdwLY0S|vS4mYI9vF=HXF*`> zztAUb4{YQ-fH{W}fDcv2bL|6x1=L-v?fapy4omwgmBb~Qf-v%q~N z4S7vPUPWUMa|ZyHx5e#@O>G6F8~`^7;7~xz+Cj|0)%xGh($+4nZW30;E`VPD(FRF# zS5p^jCpSkI(D`?1fV|F)(4QUv>;%-@P2A4yt-6c5`5%t{{PSmJEo(D3D_310P6vkl zw?9B7^}o{pTi^er0bvZ*IMg30|7V~7*8UT)0bk*sOB+bt0P6zlB%RyDVDw=;J6|0(Rh_U(U!4Tb)1g#AZ# z{@dn(4FDSZ7k2;s{eM!CfDJ$bS^#8dpz}N$0az-aG64Eh;{`x{t_A0R&g;)8pbrXg z6iC2e{`my74v4;hF~A%^JqpO^fSw2-BSieMX8*Ykkj(=}0T_9p-}&cx-3R!l`EMKe zgaRJoJk~=1R_zqW&jVlnsm1>T+QIO#R`f)}RXhL{4X?kAbH>pOKd_J!|8omP;lI z*4A4yIm8!^d}So}m0$BU*;1t@t;uLun3^WiBZ`1->$)6s`$du~o! zwpKw>5#5cM?UsX$yoTJZnYn`vw|h;^%O}IW%(s6;Z+RY0szo3wsf$zEAE=V#)vb5m z*BYq~IMw&^JsPplezO>MzkOHxSNGw;!s&vaJ5w5~BaPuTy{Fwx8=I; zpe@VkkSN@+*7iYs-`=GTXcKv@sydOVpnC+&UH*uUk29jYf`8=j#RzMm@= z80}_-_>e5FA2z2}H0_TIXz?XjO||U0XyQ#J&UnA=3WiBw9!@)Wl|*j)8LzuN zuzS#Mv1p&Px_eMgp%rBwd)hO8ye{zTA|>BeRL$L2c0Ys!o^F}5d+(9_3Q5o|Nrs;6 zww!biw9K_{?RB}o7rZ;^SL=Pm%sR#UGt9b+*P8O@$jl66LRjeIKI4>LC~U{`;M>~H@hJ7<=SUO#><<$$t7iv#ruyFnpWlD-i{o&?JTUV)-~6B{E7H)S;gg8Y zXee>>%^n)quMa4@?`^#HZK}+m*0{qCfk61 zGQe0Hcf3J4TRlxIPMkljNGzgUm68}boLuz?*PSwtiM@(I4@;4P8v@roFS+~VT64*~ zc_%Y0z`9B69GEEza?5oa&L-sH%~etgY;_`(K%xE zFWK8>pMaI_%;LLlS?7@SUBIh(lJbQpz&5;}?88t|=)Fo^FO##CjOK4d!P?txgnF9@ z^+xGik1E`CNbg=urD;@2;qCrp8bVaE^dX9z<_lfClsfmvCQ}J$%{w+)Wr@ZSSw?l* zQI|nHNmAY53$$qZ#l{QQsMx&fm^vO28y|cLFLEt69Q;h&G%F$=^fg|bjs;V61rITZ zPs48}_zLXtsPpPRsqs^iv0E{q9^a5;+KP=Wl*f01!j=cn;rH5Y8(uCZ>`#*7BACOd zv$E2Sp!v_VPJ(G-4U>e6Mz;?&mc`>#`zc26R_?rUn^89DsK2-A%C|-<>y>khPxR$- z+=T^plC%U}5;G$K{JK?1g1|&MZP&oiChKw_ejOtIbW0 z1w3JDWG1p%Ca0r`rf=Vn2AJC&9`YEWM@7Sle8MiP-o28XCYBE*Ay4DSu{R=lqX#-z9DlL&n7VV=?Ab5dW<+Mb~CBhT+cg+ zy9M9t^PbI-K#Zc7X~ysF(WyIxYq8vMOg4k+8~Ivuj_Kl`38adu&`b2z(dk5A!$Qf6uoKFi{bM67}~QyO7{D443&t7BA<_P)z|pe z+1XC3odUOts;X1`;4=KGl{KE|$9AVY-*efDx&7s=TpeZURgQ@Uy~^? z%GPVfPp7h*T?F<$?0q&fmg(%OJUU$3-i)blOJy}qJiJDIAR&>$W^M>Ezj=G}YI|WOccxcU9HGzc z2hqwSGh|zmZbRdpysHJ!vZpc^*NQsnlLI`Txq@l-E)sptrF~$lwxW#4pSj)t$cNoL zLC0dB!cLk7Oje~b1lCTK>fCvD1VM1Sg6gB9-yyDGZ5?uTo%rD<*_R|Pq$K!nseMBCGS$mrk&r{sRiY15&sd^ozcP-F z^)AU)e%Y5{Ed&jjsT|1B#V6xgVz_m?{l$XJqJ=olkhLI8@FLCcCskjsbr|_lNGOlK z%Qcp@FxLVt(KD-SGYc%i$I1JPIfFT4M)x|jmEzS2@`N%3BZ+2OU75|s?$D?(tVAT1 zfG5}7!o9{)ZN)ae^xd#sY?A)8_&syC=3U4gr=qv6RTbzvqnLu1jd^q?3I%h$H#_IH zXNxWwEnK-c*zn5U_L+$lJS@ijN^0sP`peeU2%r>DszGb5YT*<(%c4k6sCR-~=;7oT zTIt~zG9YJ6zOd4-aFJI~1|NCJg-`-?Od6Vz745v^+F1_y%$$J^!N_U)zv5PJlx>;4 zMD&oG;ggsp#Z^0MT@2a@Pk|G|Yun?=*Y$Ip$Dd5v=)i4wko&j7AL`eqww&oP4vvsy@=G=`v%VeFzWQ4Ijmw})BnS8N&ZQhh z>9GYW!@>T1gL3J25D%+H*fg-Z9U^|M`JW!XiJDG1A%1 z2$hyB|MTAV!ekR&LcC0;bwhMl4xH2B+r$m-OBu#QtijXBS(Oqb3Q;ujcwGWLq zYi5~LBqUEn2g?%8DA=b-zj>?Eh~3`8RxNZ{@a~&1yumbL6W1OdeRg_x`D_C^O273 zmu=Kj!!gqK&ODMz#Ejkf7c(NCB+JyFEiOfmHsseobN%5*Zf0xS!5E(Bv?fWJ)wW3}uqcia*O-mKDF;azjH%biKgtw+P@ zqWbr<2VvK~hg~A2WSN<~bD#6uHkZmpa!Fyg={CZ`H#??5p1Tz2onO;-5g=yE%;{Z5 zraCFFA^Y#rWS~3CW)D45PdMujg+EqkIV6W483yRurCdtX%2d+%_IAfxhU=oeZE=_M zZX&A!-ku8eO+W3+4AztUTva<(?u2X_L$I26cchm4;KT79vpzOMn{blF2kO>7U~i9a zA!^T9nLNKRZbJv_Ewdmj9!#qk?N|1v4KtD9!Z2yYJ@&m~bB8Ndk~fmCpRC6*HfSLe zmMse3VnjzErxM@By~+=u*R`huqUcGY1(UvnrrqZGGI9a*?JyvyboD}9{`=%Ft?wp9 zTAha|I80?nGIQ?xm}E_IdX5ad^&wOD9fY6;&6e|9J!l2g?W1nd9PVkTtIJif5-tr% zj4=qFY#daVL=;ilRH*1ak#FwWlN%_H*Zqz!E*P{^dTrF`=I$;fnQ(yaC2)Fgn!%c^ ztLNFNak#1B5&K7hHq@e6@^;sFjm=Hgy_)80l;HYfbV8>QQZUs+;l>xUx8HSjN)tFX zs?AeA+TC;5CLgzkG`d>Y*QwbH|DtGOJe`!gL|hf~^wWepL@&Gjvt37C`inOHyhkE7 ze(#QJXQCa(ECxWc0~0xekK(TO zun?b^_+6)i8#?)#1P|d>y9dG6@7@<;I>D+JYof~fHOLRu-p-^dSVpyoC3(5_YM|S8 zPiw7UMZaoB32ufPh^18SuX#GL+iV=!J${Q`22DpEjMfUCb~~(%bZyLyo;eCEgtb;Z zjY}lhAzJ2O_~g6DEq>wAoh|VlZsWEwvq*>2&kjXfL*|L0c}2hJeEX`VU100BO*`$> zjT3#TCaLz%F}%iNid(*$;ZjYaaX*VzTNfWKh8#s!E760Qyo8uPdqiQ{bXU`kkE6bt zl^8TM-fKM4M5yxQf1e;-&NgXSH`-T;zsPp+_o<@(*sP)A^Qp{bol}K3#FTLFoJk1V z+0jAN<{8nmqeJhrQ?ZF(-Mzt6WyEgRf76(PcXV^bU$I{+jkdbIm1p16mVa0GhWPv| zY7M_Qho!UA-#rnQRJx{vW)%%R3Oo+sx+5!9;uI-lI#KHtY$Q#H6{FFuO;yW0;TKL# zm)eJqe>%#mDec}gR(c;#kll@VH|bE~BN%1}yJl-d2qyWiRK#vt+?)~`W**}1Nr!*b z={%P(@d%}1e^ zSFhp~EO)mk>)NaQcr%&Xmae5by?z38MpMzv2#>KR1sXv^Bv#1BBMF`u^Eh3-cby1r zUHH3ZZHP}d?>(7BIk~;2K83*)gB{%%!i|Pj_k!n0;Hr%}_G{?H^2(6d^$eS}z7Hb%!9Kxr_KeeQX^aHCdCZeF5_1m~j~XAeZ(Paj zLqALiz8iWkzkVpRj@(E30pYC5nY3SV9s3vh$RhjI#JiMrQsrYu>WSV4I;<889IL<#3D@GNpWdeNmMnRv97%261fI4m4|~cNe|#UDp=%rV4&#n^#Qp zYPxE(ul3ojU4C=QH*4<&i9aaa@#*YY`gXu<8M`WxdS|_7Hs-6aQd(TdT3eV``e1L% zUS1$j^T9|H_PIqnHqa6Ha#{}g&L;0l&-OXIUtkKzy7o(!x2?LLFZZ)2U9F|7;pN87 zNr&U59u5>0Lt|2l-lJJZL|^u3Mve`h2S`Q1@hI$tp)=lk+bRa0IqE5Qa5AX$kc+UB z;pffkfzKDK{fqCKnxTC0H6)8wJHG}6CFG`k<{nQ7D?&!U;A@+4GBde^WxWRzy zOb2rx*d|$D?TlClhAvhx7$0|y4dUtfDdw6KXOm4ljcG6-cbP!F>M~ZlG@j}*!PWD6 zx85?ve<#0N11*2wCEYyS?j?nO{x=4RI~KVc`Kq3vypw>Ws1>$uWVOObZQjY?8zZk< z)8yAijuDJi;}TACG?2-8L*l7g6E0T6#_Suv$%l-Y9|a$SWl7w536rERJ$HG(7)z4t zE?t_FscFDHRJC8+tbUY3XkgVKo72P8HJ;QhC~`=b()wNLG9Ps{aIHamNjvG=?-Egq z1-hkhfjN8i^*b$@pA1TB?nV6ggy%--T2NNPaO+J%)ZkL_v$gH`{h@pBoo`c9UUa90 zbF!)aICEbuZPYc^xdN>|aZ~!9oj<_sqysIK+Rjbze?LmaKL4%c%B}KcaLbBjMqOaN zGm8Qy{s4{S%XYd}`o>Q20~`4r6NqP&x^gxT59cs7y3UV2K`W~jS^a$P>5Dz*v?L!h zw`5*@PN^Dp_NyP;+-lQrv6Vg}8`N&Io@BT|Pn%ICn$5&`RC^&M@49fd;bZUCM($*v z*ItXxPYO7jX>=E~3sKUYO5*qB@a90L@2g(878{}}oEh;-IadaEPlWdaj8hnv|~F1m9Jz>$0G(FP-oNC8|8qHMQNq#}Xu6 zb>@}pPA=4dIJiRbHJs5(;~*mQ?U>`wxrfxfvsczIBK`>GwOMz`l@PxzuS4F22_NGG zWZ3rmhp!A5%X06xd@)85mE#FgR94Y3jC&8x88=^HmZ$qt&b$@NxUF@8?F4f^8Bw3FQ=ja7!CQ0k@OQx`LWI`6TZ zfuG*D)pvEP8 z91*Q3!w$7inK!Pt{JoT0NqzK{X$^Ftg*WiWkK~8!7i~ihFv?G~-3b#DB zi4-|${DiJevTGRq>*8-@gY+B0JM+WuzVj7^YZk|#2AGGu=<$8yxMvb4lQ_New#ylSlKI+yXPgkeEGxs~$Qo`L3b@>wS&g_j-q|{?KHnR~wM%r-AdcQg-4IGjfRWy%^5zjxJly!d2r*!k- z^{{PLC4XpKns|qJ`NXBsO};2uCDRiEmd1>!PkGC_!mC~1Z>T+KV2&E)(T7F;rY$PG zRXK@bP7Y6<1lYg+7w zg^23Z*V%8@!FX12KdRN8*dW=#;k{AT(gY?gX-kg8S)K85ir$fKl+mtmIZN{opvq_z z|A+7XS&ux5r1}EF#F5F7Y=bwPR(vy;-HK&BLp>-RD!9Az0~t+n`{yTOqOQFDp}wyw zPW2K$(odFmX|eZE@X||+jpTL2y~T-T`iS1labnTW5`*Es$Q*Ve#V|CN@vY={m$D>8 zBgn?1zj!TStQuo-JLY*B??V|QrbHjdbWib3neq)+$lU3j>Ubz=ma$qep}-_|B1tbH zu}gX{G5DZ=x3p3Dqg9{?2WL7{vXL7yA;NG$-GtZ-@=Bmc1dgQpOvT$}o*er{IsavJ z`fJ)_>Ye+E5=JgguOeGlpSO_swkm?97b+4%+x&>93}PH&~ni^&UlD`Xr7x?wNG+jY)7Ik%d0;=I;WV+{5rk- z=&nOsE_n|o7>@z9(EU&@nf&$1p3(1{)N#JFj-?cxwl|BzjiXKWBz_Jf6`f^STZ?3w zF39F*f!~>|Y7cp3sfZ?y&2y3=ZaBC;q))!49grJR@?1pjnj7&uu8rgYlyl0}sL?ks z4wFsLJ5;NDUV3hC@|+9&s4k(4mdIBcJx+tP()n1+2v%lwm_-yi-~RJGvKi3%y;>+J1nH(xjbDx)oeT`5At8U zZkhcB`MuS%-Bc80OxZ7I+(JRGEmh5Mm3T(AtTTrJ)CNTSJi(|8d+gPs7qm zIHR26$eQ76&4)>dV9@#lmZ_pDZG&SYTOWT&U+0wP2 zPNSK~X0Csf`AoBw$q(20a8V|qvti`dQxnT8GkA=aGpIP%PA)obj6%vdOc-R9dSzUY z^3A;%H*z+fI|vho2Z3cF){Fv8aD9(FLrpnYaoU>s&SlNy#{9rRqvW_sE^f0vsbEZp z_#SPFDBtGN1HuOn4&CP-vw3((USLp#dF#Wzev2>4SRK_!k`gj^O%^9y8Bu9%^O^1o zSjypcg6w=@vQ)pe{PoJz;qQwGt@=dfb;$%33Gz4&up)teW^Ih-4K=BsAVW@i_91Q9 zjsCFHPt{VX*@vQUeuSt@j44x+UXci8WOQB1&R-ofZDtILJ>2~=GMj2eaR2ljF>^+I z$@>Lo{2+_>ONh1hyd{=c(curo6@{{RT4HxgPQ|}G7Ddau#H-*dN#wUFJ$+E7u|O@x zN9WKj(Hyxp^YyDA$!b3_$w}BSeSMfzdak&1gWCNL=|1LmSdiuCG2#1l^rpEc8A?vs zZ}5}CK`p(HObm8hu6XLli5!ulXi7S?myQe)n;iGeSysz97MDoHh()NUXe4V{1Vrmt z!yOZ%vpOSNLY z)d#Y~Hyr$(pig>(`g?VX*Y}672OMv&E~md1OLASF5?*HJmvPI!!OqK(ziLDLY?x~%=p9BDS6S#HojY`@C9DIhtWiv)ljIq(}jhKcSA$?8}h8_6N7N#`)zWJp*Nt0Y`>*Etgm9O4SMqQtL-t+ zZ<@;bSx*x8Ub^#0uw*(5SyvvYJ@vw@^A|w?e;EVkX%toF&*akGEkhTbf}n|e!g=5E zcJry9;`apQ!avgI)?{||-2Wu7vKrN+M7~W^;)L%giq>EhdoSXcT85haKwX+3b@r9x zDJ5kjOQzB)ew4N1#~zNm?5-PK7ci0^@FyzMd{nmZop%NceJ?&q^klkp&2Pjj{3X|# z@)tK7Dv=79;^ieVyBlY&o05SCtB2aB4!f$0?qj@N`LSgqYKF7h<2f{?7kCCmbshO~ zxMy5bx?F@0edb&a_KAGdRKscr$1^@L7Uh}@xXk8#D^dS=!#G|j?1OkuGO2A-KB=7w zy6{ZBELbP@nPz}2XIW1x2gT|$`J4PGA#bi9_j=O8>Ysp0Az?8RO_dbdP^8ZeYsqAL)Y(5wkn!D2&XrlPY;|_y z3cONhYT;2o+j|m5%tmwIQ=Jwf;pm(r&T;(^k~<`1Z_0jk`g7JPFQ$DIGH1>oHHyiu zI&LvLR&tS+wBeQBxT z%>HtVRnsGMJ+ad7ZJv7jVBg2)n=?#=22~XUK8Y&O)9{_p4Yb+UxCgByzBG(Gzoyc@ zetj<4CYIe%e9Atx!>DNG@$T9I^Xki!U1f`)plS*BBstT$;5EPf=eG1$?Aa0|E{3^Y zbNQv>{A4b~PT<2_`B%>mPpI>rua`CiR5=gJN}n!_hCh+7{B`eUL*H~pOX7NTwqbKv z_F~%l34wl)T(NBXOY=|aOskhqe%a`oSbVNwjZKs15=*LdwBLEu`6>T0*qcq*aMK%N zus6Rn{}xzmx32Z6gU3(Ze4 z|C+n&J9nk_f20j11R43)gqHuv<63(xnc#a>pTeZ?2di{Kkkwv>tkBkyL(T`u*Ex$( zHlSviL0yLwuS4l;+3%lLACj!qR~Gq4s7tLrtb|@VYFS zDt5j@L->5NvWS@3v;ErFS;)~BeW&d|o=EYYYF3S^O2kiCn2*$#I%mW`k7d0;gm+4P zkNUq{HvMzI@BekhN8HiQ?0<8=2adqGO!fCp;lJpg$3@SI<#0WP)x_o~i+ z#Q^vv58&zzaKQ%Wa?L*%ZGbE7K!2cO09?-juI2#0N(TJ$$)7o3f3DC0V}a{BXy6(p z@c%;qx4clmm7nu_Prwy&py&DJ9~AHg`5)J4fNB00_h=x%e<=X}&x1;mZ2O>ftmDWJF*6;`^V! zzsJ&^(B8m$=J$J@I`s5(w`)%8l;afa8)sV#jT-xg@JVa`YIxn}U_WNA5E5ln)Wd37 zs~W~WVk_A(yqg*j@X`OKP$|3qZFB#Z+d&Q9Imo$0_R{?Ipt=vWRYfYJ0+tkQOwP~V z7#!4SBP#}+cV1Na94gh!y1#2$jQkz6=`MAjaGLpT{85v4x_pmrUr4ooPtoH0SI$!h z8^tL7z5T<_50nHagxXAAG?g~onMu_)$nP3;t@zVCR*Gj z?Nl4INvJ$HTUUK9ttljb#OCd-Z~OSkSewRzE_!tcqsQ5i`h$lw@NHGY9GjN%$@^}x zy>FSGTv1Y7q#LR?ex5MCeY-VpY{9WD7b1FrWH)@fPNh^cLet?zBnQZQBPjLwrr`M3 zucD=X=%-KSc1sq9j`S%Ivn9WYZL*I_lj2|7zY=3S9(|;qJ90Vty2tGin{bWx<)`~0 zA*P#qKb#wSp11CMj0d$}7yTYIef>J+UB-&rabpCex7(SPI{bS_leO=H~L7H%G#*%2z~i!q0Df%mu3qqVRYd2(!nNj3+v;=;AT~)GEBR( z%g@ek0oF8S%gF32uD9(@3T;`u+C-SZH-hqdmNogpP%dV7qze@!u;;GJ9Ofp@y6vob7t ziQkU-SW%FzBG}DhdOgGU_Nb1yPF}fKkutq+x96LFSyriUv7a^UYDjjN_&g9Bw|G{1 zJd^vyG|Wp@u3w72&;OxZ=LTzw5HDG;-DL`oY0A)~O^sw(1}{ei%<72HYY`Dsx105Z z_m!7#-tzxs<=A~YlRh=0#jdA`U7cpKV?em$Qt#S=|8`Z-_HTZ+Idg5&r(Ow9E#AL# z`MzmG!4PsG5g#?SwxLa{bhsc*E-T)wvKP!$y$<|pOO2x4E9o&2^}f-XLq7cro0{c< zoHG#{0Te4_QjO2VbUcVNNCf-4pt zo&Csa#py#fc%UYOz&NFCWx|y^j8#AA5z`W0>19s>lOto;5!CqejUL5IAw(X~P~9pM zTH)X;T-%$a^v{VGhU|AinUl1c{u+h05#+a?X2)CHu%gPiH^A9s_0c7+9Fuo1q2GXC zn%GoZEo#9=-ZwEi+uFs`>?PXAYmh#rz@w+G{UTY#Ty`Hya_WPYbxHS=s+Xl%FW=@+ zla8u#-_lxIinWnD2}R+pRm)V-weU%4^eL!lI&PX%vl<(ur+?Nh30LnXx!roCO&=Sp zdUq#W%H(GKkGT5e;9q;s%;XU^#pT-kDdV=kx>wSk6OGApLls$}yHT{W zqGH_9cOB76EZ2QE)7w&I?pH}3fVQ8v5I>V#?$mK8M5-XqC~D2?Y_EAb@!k#fkZ@; zr+WWKU-8>!+T;mBV*A*Y?a>^;aQ{0oZ5%%cDg_`5`5(szsqk{==n4u|ct*ayWD1}z zskm+p@=Aj;{~}B(uxl87O_zL^_7^Anj*=o>9HG^sJx5sEn=AF?rZY9=IevE%Z*(Br zJ-`>7$Gv8H^$0jMCa<>K9r5@I&yQZKR#*G@PL{4j|G;yYZ z<;qWmn%>DjSM)3V1gdMlDvl|3Q!#N=Rc%|hxLiD{m8gCr-}vK($5@P0L-}h$_Cp4~ zaZ@e1C zd5MMKy^;5Pq(=KGM-*ioh#A*8`kWBG-e)zB-sZNwRS?GCy3SPfeJS^$aNS_W-nSR- zOY~|^sZzd~Fm&^4l`yiO6FlG6`AHuWn>dJQj*!vX^xIzh5qRmlevz)po#%L=N8W^QQNIeYuXee~xmq#1h&rK*-Bb8lJKJ42b`yotNoZ7rc%A_U4Ot+y- zQnl9Mwep53#M0Tkw}l*zQNOQZuRYK2z!&b6@nAyur}b;?Z_k+FMUNdS@*ZB*&6G=F zDj%SUfEZ)MKv! ztXDuZ|8QAkUW4}7?_TXUO?bZ;4d|ols%~=p+MR+|v=68H6#$PPr(@TVPfmk=NpIY9=_4VQ|!8xUZkrw`wrydDawV3k7mEP?&`<9PkQZ_^aP$K zx8Sarq~;&VWOJ%Fw27#u?vNg!l~fLxAKIj?qD>hpgqsPV%`HKcUo`rT?=&5 zHJ8`$Tll%*!@1+Tr$+9d62E+Zwpm@KQF zG9xa+!M)>{BN8U1HMZ|Y-$m*5t*n+HAP$DPvY!TZJsf4k7$>yTjl#|4fxNm}n~`s# zD+|b@NQXS5B2K*Z;SX1dC1)v2oizF58~wV|nvP{7tLu_qUCMt*&*D)3irQ_5t@}#| zO8-!_=PLhZiLb%i7vG=5L=?A*OwA)FA2Yeu9oV+`b9SmhY%h_9bJb*}Qx(|x#HKYg zbQ)jgntzi0fLnne?+}0RI_t&E7Vb7tcaS{;#L33$ZY~ib_?}dv({^Ud)vA*)>&q3* zb8Zu{=wW@O+I-gBrEZ$UUa^vmsY$L@z1uF?g8Evsm_wmRn{k&3vK!$e9zTDQI6KKc zDyTHOwy7v7lc1)0SrFe0tQQwCrM#cOz{D}NLd&>gGv8F0R-aF<@jBPK#Jo!VisqTj zM6v9MEuzzsGT(#5KtRwlMp6;mkP zUcxL=r0pNyRf6`~DtZ^vZJC-^*RAmBp1+@Tl(UW8QYh2l6swdzK6_)C`CC=NN`HFbU%tn*EQorp8) z!keN~^<;_*aS|^VFePcPA~pAeyJ0E1FVY5+bKHP>!LK zcEqx1E^+gWwzlZxAiCIlae-g%6B)=rBJeG)=0ssw^yeSPjckBY;C#+q;q`Ctl;nW3 zYpJZ1H04nWL#g3hU!71U76F=}`0ejzxU2K~+(fJ%KZ>2#BD~9aW5`-yC^N$zz0~5I zY*pql!qU7UIkXlmrBXJs03S=%ZhFSKKQA4oL4LzXR?4Xb5pw@0i+OSseq`=`FV&csQ^H__5?X%yUfO=AvQmbU)DV91@r^cD7a6T~JT(j3$Lsn2?UH6{LoNRI zN@|n9Is!@LP0+GClz1e!E(mLlgdY_>r5Opev7SYjHIQ9ZWLj!7C+`=f{x(*qbn)Pc z-E5MonW^(EVQn~dK4TztbV`frp~j|7W2WFflGl5dzK!vc&C83lZzM&@gFX?FRbsdr zYW4e$)Bzu9?WCIAxW+=ax#AF{bh=FE5g9Rup93TOw=JvzJ!mBu8m6E zxf$T%nIAKj1A-d4DYWYK)hDM1mW@p?b_x1F{}_bI^pKB;kM)(2w}@Zw$>I4)C&~Ks z0-NE-PxDVVnD6rZxLTFuado@c`7tTY=M_u=EPvtcv#=*n^(saR=Gg5E9=wOVb!H)V z&US?+HS{EsGjx?RqvNBNOO-iYtSdKIRclG^3|Vm>ICi~?W^Oant0eK$OJ+x@hP57MAdzoIr-!N!BiB|FL^_8p2?zO9C^GQA)4?@1cpXpy9 zUdz(=R1*H>r@HLf|3T9eVMr+b7uI1i=O1VG z8B1Nh4nE@#J>-A8z1^Tw=ag9sdD%}fnfAJ-xtyidUXfwaRzqv2bfbQ0!Yx2cSe1;C zYp?SWjDRY z75NxvdYY>T(U(3eRTc}HUUpe)E_(eMU#xx?{;9Ya#EgzU$Rx`1<{}Kwe7$r~XC3V1 zm~ioAnqO6%zvN0fr{r5Xu1eS2%MM*$0xcV&42-(VgZ)*5lv))bLwBqnef!k@$jDvW zJgC-@=aJ>rCu^ezZZt;H*7qhmpWL5SB^`H>B7AI`9oQ0S8tkw)RId6AVpdBLwd3^j z&YtIJqeHs5fNu7^^vnnKcP6AmUg9q^PCU>h=@#@OJmJ#gaGDh>E>UH*A2S!`lflZ=f#&cZ9^)bycm+|^4yMBha4Tsl06cl_=L_HN<)rff4=hI)vxgn z*q=TAdN+NeVM5sNLxA`3*CL5~`->*EEA@*0>B|lN{s94=KJQO|9S-d0r7Mk4ri(Nj z{-7;;xSC=ruU|OZTgB*~aym~baO&Yxu-ov<#q(%He)(I(z)4rY#OdMe-2F{*TE!ve zhM#$q=}JHEPOtvZdf?~}%(%TbGjOu%>3JqnU-K})$Mxsjs^^FSF9Us<cQuC)mqah+s8g92V!RpCtaQaUUy3a ze#Trr9Dd92H5Mazy71_t8C&d2p`sum17oCz2@&4XuT9Y$7wAKd)y({0 zY2F-dIB?Op`94EOsMvzjW6c5Mef1a86bLRmUdk(8N(h1~I{|U64`KQfpO7EppYSdd zvuMfCaKetu)`=69`~qoWdveoucrne@P)FgePLFN7yS~E$X+PYQ#?B~q0vnqMjQuCb9yE4A?$VLH7_2KhR#*!io;SEp>F-dW z@sb89CRpj@cl*PY7c-I@3L|ga6lcrLH+p&G63V1j0^|3R2uCmL5rq2)x|v*{6|Ddv z<&54$3{4n6atN{Yzi@GUOXSe`wLX1jdfR|n#2a;In|n8?)U$Y`Sb~jjlOJu?#K!fFV(^E@p17*Z+rH%n*S$%`?f7LU9Zw$FpOXswDw6 z(b?Hyd)~rCWS*V;e(>Tec3u)%ttwr#qQM-!oBk{P^pJ0rU|-p4t_SLPP?l@v(i|P{ zcQzjKe}A;CY;U=L_kpy-z8=+zRO{gTeZ4zr1N*6K^tzkK3loo_zUaxA`$C2r2Yl?s zD+HJ;1vz}*hG<^rs@6q3v159Z&y(5HZ__Qi1i_eDXtO*br$od-`xQzqv2*6zRr~C7ajNzY=)UgiXFY2z(t#lkLYx6@EfE#JD;LN3z_-i;q(^qTYV1IN zSQi^es{li8=P&E^Zk;X#!Y3*iq?slk*fpY7dyTEA@+?%hop{dW(z|=HS?N*8! zqo~K*X;t;~okmRAiwi`FuNDYY%DT{Q%D+pCFaL4JINXL01C43;t?lf|l48x~9pt7u zSjj@zl4)hjO`4hK{NXTDz1IG+fIri(-yj-va% zUy(XeQR;XP^=PTlG453L{T_sX1>1ixgcj!Kt#2<1J+;0W91Gma8a7`YuJrSKZq<99ptofG6 z9oGjW5p}e;Qn{rVUt#0y0(_4Rur1NA3c?w%T*6C9^^ua|6$~!1IXEpz1G&=BVpa|v z)uKS>m*}AwXc}w@ud+HA$_FX-^BF&T{dIwei!fBZs(yfkFkhB-)qrY;7iz0(Z?1!^ zS0N~qL5fe=NBP2}jopy;@DR2I6@>_*8kL<(TZ59MqS#MrB+?>+u$DBetLg(Y ze5|V?dpykCkHU$130<)~((i`%OKIcD)ZSs(VO5;JuVkQl$)<9L2B?fpy(yCiS)k5| zs5&|egccZzIKSEf*G@LiQRL$H!Hbyt5mY#B2FghE6;h41i;pB9#}ybB9OC%W9yjIS zA4(C4)c%d8f-yVXsNSLPUm5Lb!3|e5i(}X}JXSie6`tEQrF>`>N;`vxv3d*nQ)>`f z^Hy9~lppbYxZ><;3#0JlI8irCl}4w|!9RrLbiJLBs-@&1*|o5X=HdB+s+aawkq-6) zk2~D17X$QRgF`w4GS*UNn}lRhKRS4Z%5kdZ$XuGYF-Bx-BUxLN8=g|4?;(3mY%k6Y zseJx&Pxt6Ohi#}F43pXuIm=XevMqAl*~2huDJ&C89pJ`)A3*4Rg&# zg@j!1awVH^0MHktg}K5NL*=8ve7t_tAz9V?(ZTu=*&(6$Xvnfs z%9?5U`1XphUL#hQFHViu3uwV2!gCT^q1K}7H)Z^bJ859(S{v@UfsIApmDJxb$0iL} zV&dRT)^NfEMMoP3!rZ~VN&_zMj`30Q+>hPHMcDX^h0fw(O7N8lrDeE^;09n8M{Zj8 zGc;UT+7qx!uwk*Ft)To5wF+#%OM}ZQf&@;u8hpS!PkI9$H4fe<_%ZoJjwSfIFkjtT zd^^@Z(gDh5v`6X2FsJy(*4@YC(_o@+1=I|lAVjz*N`WsAI*r!T|FqBR}AUe4vvCeeyD<{8lA zD;xUMFx(2zWq&nPF9^lxNg-l{z(kG3nvdhhg$>0}gm9iHA)zp+yNR9}F!3h@K|G^5 zVXOJOrjbwh4ogvr*^{FF7O2p81DBs@rdqGAEz@sn=dR)}!HXcfW^rQD+{0mSmWlL> zB@^jvixwrv!lHy^e7J-77KOtXFP>*1UbX;Q79t4%_{-7FxIT)|ACM%q)orxe3Tr1~ z?+Y;*$kI(1mjLzS*+;d3nBWSqex-s$0u0!5wy5x1YuhdP-z|musT35_s6|b*+@WI1 zETOgm!zTO6fvV@~Dtw$0sa?81;_Kzt@9oBr&35(JFau${k;kpNdTlNR&SgQvgAUE# z;$^@L%dpJB0!z};($-m(Gps3ubdLNhsFr<MOkJk$arxo40PrKaMgb#@uzU6)v$ynOM?t1=3alPcKNFD zYgO&CA`#s3eLjtOyuXU(5q$sM51+G&?)xmIrwy*=uHtyH>I^Hs?|&MFQp#`2EwhH! zB*pd-tu^DQlIdp#Kg0q}dgUr;pF(aq6Wy1(F)hH1n=CJk*elS_3nT38(#(To2YDPh zJ7f2!AWSwAMz9);&ac9V*PTGVP2gzI`)F$1$SG5y zLH>j#zSz)A22)b&ka6%{PJXN9rehfC;bAg#X=A%S9xIv3kHDqvhS*`t(jLtK0#BG$ zb>cFDyB1o+mI<8t5qK}{f{iMxQv@oq2)9yBstNi)Dk_Q!wXb38oLaNhYym}u@og1w zni#H8yt0XAJ#$?~=%g6TB|0E%R{9I2RENN!I9aE~WasMjgO1^#;w5Jiwb_tGGrhsW zQAr+9KsHi7R;d>cE9eOuTsBT%g^5JD&blZygFL=nA$&SPL_I>yHblzv(;S{!JO~I@} zG}cRNzhY#4B*SP(&!Ur^j>#en*QA}p3=xZhOh1EFn|Gwzp#amxQ~I;P+X_a~NY=DG z1sBr?CB=g)E;kEBplj|jCk$J+5*q!q#uZf1fWk3B1K-?mLA~;?)M_P=+FOkc*>{d8 zEGJj9+-`*xKCEwF?+51MG~(hi@bfzVjI}f$+Kl`iuoy|BfWJRJ?4bEOsqsob=fzV> zab5ErpY_%Z;?6ujJYQa%jgBOGOye`EleTJ=cYT%Wb7MT=4W;h>PfCHV=Wzft}Mk~>w#MV=uIV+dMf5i+6p(wSM; zca18!QLr`aYY)IE;+ea!Q@PNf{vn=VH7R>&1fxjDo8`v=nat1=!02WzOi zQ%2r7DW`U%3czPI_`4 zKlVK^p*`Y;jXSk)bz4|QJdbF~1Om)!GCA7}uRg&j3G?EjBak5}Kso3wm5DYVnst>>XlN~#?F{r9UDrCq7u z>wzO;mStkFr_x&%g#3aXqRl=f7#t&jixpNleG?qBT%n~D8KbMbttU767XJn;fr))( z+T|NJN z$s#d`QOfq8v+l<+`b)DpREnb>@;_?ajm-GbA!35Av56*(x#z5f@JgVwGZBhVGRo)D zqM2KQ9!5N*fsuGJorKSucTSnS5O&GI_oz89squjO_>$USBq+?`pg;G>6xD6X>pTzA z&$8k{wCq<^wD7yDTlRJ19X%hm{MxMFJ35G46?qs8-7PL11uV2gfZhWtE13!hUAa)q z-fR^VF_h~aW!~aP-5M1nTda{9!n1vce1ODCvqpDM?2TRIN8%zkB>qdPaxnrm^RL|Q zKiP}xf;~OnI$dMm7dMT1$o)N9E|ad z-7T6OdOaTy*sr?^r9>Y#uRi`oWgz}%_ww}c#GR>_-`1RyX+y62q|aQKV)QM?-6X51 z#4eAQs|$JAJ9njH2UhF!$;Goj(=wkojS!fo(QC3;jdO&zUYmJ3IuOPyr!3IdXw)AA zZE@tDFb_)Ge0;V!$9;|8PjIn_>v357RCTjC`T@NJ8E_I#W@QnuidN~M-Ep}{0Q@nA%ddFFp^RQeR$a@5iWCLyWsWKIg#!#%ZrvnRNK+BWaf9{L(b z$H*LTWE`VY=&J?MwxW$PXFc*;aM(O*Vj+vkRJoW(F(ELVsE_U6TjRxreJamY`a6QL zVgPrJygz>>NEs;)*AWAl!-D~;(;z*bY*HHcMC?hDr&v8XwX*Fq)RA2k~p@rFQovtdFiil=Km98%X2$~(I&E`kCX}ItvnsSWpgE3iE1zd-o0hCo)YT{H5 zb^e}U$gZL$5q2TQ7a{d@iG?y~k%Ky@Pz<;Mf!qX(31BpJ?d>{Q4WqmGZgg#OiyB7@ z2R3SJ@gTZQEXDe#sXKoH&6TneV7QEsUGU8`+433^gCBrjJI%A2ThQiYJrXQ>IZ!7H zh4=%1Os9^ma!#hT!-GWd4Mke&BCsiK)R%_V?Onb9UETtx>DsdKzAepOK+G1(Qn3gAH_UUnZawrFr<|$NWNhuNwi2<67VD9J#9(CU2&p$A@4JGK9MXBT$(3LDPr=R z%?%e8#@1?>j$MQgp2i)T`HZQ$@*N*#>#dBen713=U83$|D1GiVH`mv903$UIwI*oU z!m1D1m5$KUAR_(p$#ME!vP1TgZ$(pw&#ogCtxK)hVj-+T4t1SSG_OOC`#Fa#h3~iQ z5)Jp6@m4)6yH1UIwbinutpg@acsKn%xr=quny}($(`IKXSFCXRJD=UHzDlVXo>iQU zy5G?cadOiou;ItvTc|>NSR;`Wz_PR(canG*iGc51C#B~ol7!IjF&v7gd7|X+o1d+Y zfPu^X^KS|K$@pu^xJ2BMA)v5GKZD{uHKio-dQX7S!PIr7M*LT{k;!hh9K~^K+^v@r za#kMQgk0k+P3EcPZZB`{54r)6Y?3AK|Bt==k6-M6^>qCU(EJm|{Nu;Zx2zlF0yYbHXo+o8#-s z3nEVs4-aecOxwC}%|G^j)5)gM4AV9*Mav!hcI@1fxE~yixAKkET&ZxojE$<%7ph{F zcttCXttG{_y5c9s_l=G+)(s4|4-(frd=1=X#?h4+$wOWES*h=enp<%R44aDHr+P!3 zY;3dD+Z}UXs~yI>Qwy3g3$HUA6h`*jPFpy zoMK}d_H4UL`+6=_)uiVZxYaOeckUvHxTi-BGu74N)|#nCfoSgiJLu9=3r?$Ze9fa` zX^gJtJ{tIqlUkXtN-eIb1FX^Y#z&RhE7)}mvtHW_-YefHN#xg{o}cZe ztuwr{wt#*YEVCVkT-bXcb=DpN-y~-VLTY{EbKU zm9Me3Ed*}6I)Fk#`A^7zOY=;x(3s6 z^(mv&bYTAS#FTa&k+mx{FnuI+PD=r~fx=J%=uj+$CJ`#tGw_b$e=qV*OFkV9j0{>k z13^g<1cDiC!=>LqRu%3@MD~>q0IMyXdxEcJ;;L2u%>>WU9~2XCMvco zqdL`=hD3hLftq+mculfejYsZfx5P9ex4yk#T0kO#e16SYx09R3>Vv8vg=&%Ptyx$Zs`Opybk#xyEc1mP)ds?$DN3 z-Vs*qp@a(&qfQ;JY68a4_BY+CWuz&59p9sc>ahmG(U3PF43i0~s^2UklKBkmn1#e@r-7#v}327#xM;cMOzE?e&qkIT|v$?YwlrgPJFC_7zFs>-MJ*+4=Ox!Nk-$~X=ONi|KONAnjSxNWhkr~$QK5yi(r zR8c#ma?R{XCCAf2eGrSDZ^mw`FiddnFK^lOv zL-enpUU3=NlB-n^?@cG1gZ@4-x9@`TsB+^Hh9o;r1&PksGNqq0j64(^qXh?C3G(c~ zNO=UX2~<|6_A;1W#@W(~9MRi+RYlE!pU{MNN|^>1Fd1~E%NB%tq>(2eSg~(I zKsBhegIM6e+$!oU%V1HcU>xCc9~0iwmrNY3PC!;vo@hoEL)TH_(Y61EOSX7tB~R4g zl4AvtZu%*?F%mxiB=NY_uGB#b&Y(Oo?K9uF6!l<8x3Y2@h2B==T^mS>PkO8vL zxt*=av9Bc`l~Vs+t2&ez+RIIIK>Awn-iQ`@;8=LwAy67PXvZ*x$-}5+K^-sYU_tC- z#sn7RmYG$})qZgq&DZnPW{)nE43(72(Ie4`q@#{8X^<_YbgGFw9_(u zCPDZ#SU3ioEye+WeVrAq9ga=>8nH$F1H|I~=oz9JWLP4CoThi7J@HT)Nr~0a-$X^L zXZ}M%xNS-pQ8VZV8jWf@P2n$vKw~Ka0rAy+3u44f};a75-OqYhQc$ln9h z?v)P12Vz#B3n2m7%na02s5_}0oAoWE;@XO65t^(76=&;ny&auO%2M~99PyK^2B?_< zsxE?)RVA)z7jBij#n!cfv8+go_?c%cN6Zd%YZtG;&3(r|Yg<`$KM5~wKZhSfyMI29 z@sK{3{O3BV#ajTpb&-&^HTdgLzXF#L--Wcj?+j!b1$tjV{8X{jLzMbnNf+>QeuE zf`PU~Hq&38NI8hn`1r%@Q$@?zp8R#%bK_5Lv-|g_&bzt7?OZukE${s`_A;!S&4Jq@ zm8N5PfBe?jsYKA-lzi!=WQQ)9XylyUnXb`{MpJ6HhxVFS@DfQzq)3FBy@^7JWSG!1 z5dJK2-&6wK;rHF5kyui3+-=ElNU@l{wS_QC`toctMYMD^w+`3)NN5Py3fORZ|NLgR zzZ)H@mwnx$B9uP7+;>L%9V5poHSYEBZPZ-ph9ouRw+E=Zh+5IcX;*5wNg6rpzku~vs3k~ z_}ZtI!lCea_PELObZB$|LnB9XlUYyttQ7)(yAndor{S3@90e8r;7+8j$ENc3jEAgh zxemkDdHgx2LSIhsqUKr|d~J|+FKiB?E%|FCG@(c0btXu;@#eTa?w8`prFwPB?odjd z+cLK%e1eIhHqP>jx5@-madnkMMFwyYzqg2&MFFRHGnO0BT5`pAP~o_y7~%9Y_2F@? z_Cx}hVxGREe}2c4UpvS%D7w?pRDvK@6gb%Qu6_2n)qNsy^yt2+nYz0AF)@)6;bYRO zb#!a#Ud?y$wn>{|mJGw|b3I}@6%UN7Cheac*YImfwj{9_(Q}QQfq#o!*9{pLVm^?* zp%>@=+Q*V#jL1K%q}HkCS_(U3{p(##BOYYgXOm6^Y$HTQ66eik?U^ZZb}PcC$rNV@ zl8LN^Z|&chgLGPiP&;#qdHhyU6^v+^x z-~;+dcCFAEXn1H3r4Rm-F|NvKE>-Q2wwt*Mv1eV=-IaL<*7(hyi)2Kj}v z=CJW^X~QSKY#xt1KdvZCL)Oc~sIUJ*Kc-1Ihnr*V9BE#D#pn6_k#f8`o#Di*G&yQB zzrrTO+#}58QwO_^x~288u0_b>QEY8ko8GS|$!VHH_xAnkNq=n8G*S!+x-&|Z5Du)C zzqiKY8wPQwrtf3FALX_k#!t>SAmMSJzOP6zsC>~mb(l1#9r3CjE8HLc9Oy0Q?7A<8 zgq0=Hop#BF&D_54vhwqN-*da&nT*_f#d9Dz+j6YbjUK3uRQ=w&(sZlh6qyJF=Y(s` zT8HeD>}!M#9-(*Hu!gbQaX`cCT;`HlDd=h)`{%G3M4oJhKUY)mFJHsbLjkBWgCNv`C)RCxYnttUPxz)mHLo2gLH&kD?vmiekiZ9b)_+Tu#EfFNH{9X$nilkyJ!O0s6Inj%K%Qi)iy zz}L^UE~IUc%g>vVJl>}$)hgKMNf{D(h0;7IR}^1;MxzNVtAlckqe70T>~7S@6Nv0- z5e)J0{jLj>Vs+R^4G0klAjillixekh&T6N~2Gl}bN`)Y;d3q6iYjLN=9R&r0x{!s( z>-oZ$1?5Z*V~ZkytEkZDTuvD>&GSUX*Cir}%B9j?ejqsipZr1EaRH9nD?+L#wXrNo zJ#oT$pgy*9S$zooLdvszBa8mn%|lL_NGPilvW-B-O8zjM8+Dheaf@;!(ODvZNf)x`8~3mx!@8OO2#H*e>gRMbDZRV5ytm*-qr3b4-G}q`)k%52 z!`6+X1+UGG!N+Gy74TW_ZSyl5zI9g;dd%{-m-9aw3Vx>Sdhy z)L0}%0bmJsF3Sn!ipgBnw9fBy#QY#ezO7jz;P z5nR|%h1->F;=+-s`awmGK_EcOkQ0T67Qlk?8EqP5$8@O(#?uKxo~MCeEB6hN8$z5z zDS;Jn60D#kp-&5CpWFMeY5W)+id8`osPf`yX&h-EZ7ARmtqjG6xu$6@T_iP!X}Vh0 z44HNT1%aVS7xUF3a{m2(xBNG~)?Q;3llXJlE%XW*Q6CO1Y8ote)As9|Xfu_p-4;TB zTpPUN-^01W@0yvHW%cTAeD=aQ)9AzEZlMjMMTcq?INu+GuHRE==S+;MliHL7>%P!L zo-``=%qCr1RvoJw)#AoxL#HCyXnszq4V6hiTQZ{;hEvhMvCN@K?6E>2K(?pNnL)%I ztb-EnSGwexJmYh71UwRkJ-nFbTD+A(eV=K;dWCq+WJhn-#TsMJyIc9hayk)MJlgvd zK*W`jG%lz!xe-T}8Hw5(Z0_l5P;n$h0Wc#hvCLTI3><4K3H2NN+sl*v60F$SiIgt% zzQfcB^xtBFAJT7cN}%$iPPD`?$*nT96QHEbRznq~T%m0eHq`B9?8eT2Y$P^mVy0)iO z)I(Z_`#m)DFUB9W{WF#K)(1127(IDQ@7u9!m*1b+ugFN4L%)kMkw2g^VmJHJwPi@S zf^-L;Av9l~iGB;Bl}9%rMN2XsDDghBVx$Y#yKrStaQ($J zQoPuNX^Y;2 z%5F7#5F=B6CVbD&b_#eW^wmPgv>^ZY9&0I*8Fr!SKw1GAIT2^_1CT8$z!{A=%FAu1 z3Tg^aDeO)y**S|QK&NyEt>oToO*6H_YiPSJR7jQ6k2~#yeVD8-E>DyqNTy2afUYT= zw7DiH?`oX$>eK<3wMLV3AR?!RU8bH?(PFQxOyCGan7>YhXvfELN>d6|siH@Cj_JZWR^BltoDtyoy*%5e-`Z13c5Ml_zd)$*Omx3Z3 zcy2Jp&q#TJ3lLP-pL_N6XwS;1O0%5|7UDna33pJ3Dpt1)N%1LH7iLP(+;M_iQH!y% zqKRr4g-(k zJrXV|)L6=um93$-?TH%)G;8Vq7|(LZAzj+A$VLWM^zhG9A0uuW5dVIP?KD@ zke@Ox#+ghS|HKP+8@VH5%c3iKB#}j&mf|;KE4M^wr^~L!PCf}CZQ11zx_?YpUGAmj z{8OT%EX4K>g$E3I0YxY!3I_jIj})gy>6f4Fjxd6_aDh$+FI1CrmljPw&MmJlrN$rC zg!GzG3ukARJeZ%|=TLN8Mfa$GQtH-bH_pKA%>^~eycO{K*TN+cMF9Hd!G$dJq6O1|XLI?D=yU{xRsUfBoKcacKYkGIn{G#{WsG-}kM2=>N4u-}j?*<@e&AhQ61XZIxiu z2i#l%i78bVK{xRRSN3*^KgZSkOq?rArZ$lJ#p|OFq)vVbz>X$Dq|tG)B57&QP?^zc zcvA+#jufc7`Wr-6r;@R_$poi|b?CFm)AIbzd(>0OKt%lP>Rzhg>kBIo!#5CTD33NF zD1VT9QD(-0E)e*KVo9-l+ogHe%P}H`M{PFnZ0LS@s;fDK@G#_{>}2|E%3?3OKuUqD z_muq4wHIt)5e`nubJiUHe)I9O-1*S@@MTJb)#YzjDiv=wWWkM9h-77m%Bm(u0P=yM7{@6{JF6`4Z!qhKk z-=j8d#pmL|JJ%rTfa=1#>*oA;i)7R~9>M;?3f*#HCpGZ_PMu0&_i^$7B+lUH8TB>v zi`p7X9F2Eh?cP0gN$3MrYBpZY#@0z~R~%&Z#?x-|9>E!%B;h)|bunz=L9%smJG#u! z-}8vU^wC(SElNXRc?rd-E{l?PAqV8|CKKY8i9V|!ny@b4)?T06(+*kY^p!(IXKsxZ zG&HL0dE*TOop2;3rJUR>SWVV~gzU4#!Vr|+h7Uhno=lSCXqX}xv3^^ZhUyA7?vSkg z33*UC{(2jmbl}?-lfT#0ju8fmz^O_?ohoNEk>7PceB>%#Re5^|JqR2YFn@Tt7R3MQ>Bd>ZMMa$)M$cu*ryUv6^m<9X zii5pts!WdPd(`ajpLYdq31!V}6g=MjUKIYYzsl@iRg|ap6t{W23OV9T+|_I z6B?F3d%`i#7Lg6LwJkNJG@aShZDY+afB{L0Q(BUH7S$lYfRye>s#^R+ z17t>|7pEl)hB9I;ZOR!1U57o#+QijSC9Mdttt+dR$#J+1fjoyYVi}OZ2_YKolZ5d7 zyl|owM}pDbE85=XZIL^)idfRQay3x2L6=)@+Z0TL*zKfBUt|}^BAeaYdu~j3gaW!3 zKz5UUc964Gx1oaVBb>oispUvx?(57uB~ZGgb94i+b8!N2?~u6~1+SMwiP^TP zgVcdg$=MdryKb;;2qov=Jla=lL5C84s8qa+Mk^};d%ecbY{@PRK(?tbwbm{T3Lbzj zRgfhCH)$Wy-O?)S$aM#><38ePF2@?I!_!{IYj%Z*FwI*2HszYGwZH)C_%K{|+Cp@v z4gO&CVGD5^rO{)YbnS{}vmx9jqvdTpJBN1X$Z+a@of<$$lTj#p-4#twVh7V61 z*3H#f5B_+q(6RHt7}i!NHFYh_xm91KTMbEGHc7Fc29OEYoZGnD2$;aKrUO@-xpc#! zoJ)=-02zT+xUuCAAFK%-lQ643RUv%hqm~S_i=CVJ+nQnv?A-_w=9< z-5qF7@i;R&2%9%EEjz3UEVUdv2SR|xEBCm0UT5j5i`OT9LXMkV3q_MBH8y9(TF^Xu z)10=0Zi`#qeAnU2;4P>u^J?i8A8%E+x_a&FJ`?6_2K}8|8n3Fx2k2ER9kdMm@1Uiu zi$9okv&LCG=#`UR;=iS6tyZ2?-BKC5uq98g65MR|8Mf(EbB_h9>DrqsvquWe&eT?g zlUl>)G*{en`ynTW)lH8-u@Rt-Es5NMc3TnG!sB%c29#z?RACi}b1qR6i}7QFkCFzd zma3^ZEw~6}6Mm*9e;(yBLqt8#;!}+26llnWtm-jBiU~Y&x@o3OYzNO&IMh6VG5N&9 zv&1!@&%c~9sYwAQQ18%{cGQZJywKlArLsqgr8n&B7&Gy@>cQITH;gHt8io zG!xsgQm_Xa_KN7Be1+L&(T&MWKM*&gYp}!m`Km^+EL^GU5HvXf`?&>+g=Xb;moEIK zBK1wk{+s8r9vs!C@2j3YuR@ELnp3a4ZFjdx+HqE{WhR*Y9&Xi+-HwyKb;b(y`WiNQ_QmZx2b~(W7EdTUM zp+X(}SP#vY(3-WEmQE{ZkHY_ce+V}Lfx><#LB+Mk-f;Ze@3#hwR&1*OUafN>)lIY~#ZY)gAhAH*#$d zq^7b7aCV9}$%=g!_#_lwOxQ!=0fPB0mtWce_s_mEa94DCcnAWSdowwV>Y&*lG^=8+ zf3Q$DnsV#-+|f}l55EILaNTjY6iZhk9g=kTN3OpeD&PE2U##DTX5+?w@csF}HCzAX zdApf6-jVpkoviI8^WxTmo7N6FY4cO|pWmR!er*M=6CW{?B_L;Pd=Ta^VY))xvqP4?mJ=$eK@ z(I(7T!l)gk!LM*5ZFJ*#crXj)>WQP0=RnSBV1*LzQb#Uk!rXZWnUS2-4dt-;TFf-R ziXnl0_P3VOJ%awJdRd0z#%g5~F#RttR}O}yk%B;=0Xhtan{Tn_&OXW)l-|RGJU5DT zC25R)O@XaO(tMP3)ll>zwf@*yc-8T4=i>~wmBVEN12o`p3A{hmvgYQR(9*x`0}+m7 zYZ-^Kj^zxkDC3bG_yrCF*sWAbq7+zi9{8*?X;D%>4dWWmBPgF3n{P3`pm#iaV3OT@ zrMEQeqoNK+amvcYRj?`3n43BXSgd2!vrbw8m<-frK)LSVi)!>ubi=A$8>UT`1}{-3 z4rnXas1H%&Gazs;8~dB{(ZlvpM1IZ#pjtT^+iIr(56cOxBCc2R0_&DA=sllx)P-}h z1@HBKVNx`0!fYK=x>2RXzF=i%$BT?R{?0iH`T8x=rJ%pM>_Piqd~YVWZ#8G0z4B~~ zM3_~yeK8XwJ0sN2-PT+3_b4OnHV*eQb{O?SzX71o$tKS0M*(7roOT5GWX!<>FJz82 z+gGfWgJimNVB^ps!Qr?u3Xm=EI9|(U;k?ofuWl5;5-!S-a`^Xj-FIq`YD2nkQxceoY|D?|UBHS{Dq+Y(_8g_Bs+%2|`Ku!R>A1z?OB z;gZ@Y_Y_W4_nAmpF*A~bRj7s>Y{1+$0VwCxSif2++1)?J!3I#4b|1-EqJyEAYZd02 zOOTmN^llCCSjj~0b-NjLI__tH`vs#8^;FV3aTPo$l*a^XFXsis!lH+}YNEkn^4a8rdT}Noe=-;N-(#HSqk~fythQxS2Y4<`{W@() zNR40<6b3A%8w(|Mij67Ew9-kq2|GV2ixh3y2Ss1^g+O!C7NA!mFQkhZVL#Q}tZ$P} zva{@{_-)@jXnp_;K;j^D@Bcrl>_6hX|IO(4-_g$hbWi_frw#2~{u48G{wKrxcUb9v zTz`@#{|d^ME}pa!|KsiZ7ef6PZnamnv;0ql{GV;_zmELhBI^HyTK_96`oHsSjLZ!G zFW;u4J{Du$fxJTvApI32I>$g31_9w;p!fO7?hIQea|m4LYKJz}fV(BrwM|e|qW1Fj zvf6u>#DVP~TXg#oDpjd1(VZan=Os^D+tOw)TMgK?j90Jxlw}LjK5ls`!G*k>p48-; z9;H818E;VhsxUvdQL%=e1VznLS-tY_zo`%Q(JD)zxm?H4gWe+9gB(j+F)J|o(e?m` zheN57M{P+bkhqmYi905(%D&Io7~N{Q$w&fWyFgZjlphP@Gg)(1G!|uNbV52^jDYU1 zIv5GwZ@uPJp+3{eGGT*l%l_~U<*dhB6h3>rgu=m8GJAs(Nh5G13-UxM>_#|s4THDn zW}{ulP~Cu24}Z~WM8K>S{T<{RaItfYh=ZG@xekz!0MoDeVz}0JKS}zf1H>b=fyj?E zdV`Q(Att8pKOb;;>^iS@L7X?!;FCJjj0{r1b>gwHDwzx_`-|oF#j-dFlDf$YN(ikt2gAsF6W%?mZ=VoDtTBeg!ajutryi^izJG%+NT%GSX4IeckD$ zF%W|lM5)2+@+$ZOQ?4xthftQDIR3anR#Oj@kIhQ;>hC`d&f(PNNBND>Yjq}z7)(7{ zGF6e9hNjpvx1F8l!wcf7Jw$( zD!=Db-_hi*X_)!DgQsh&|L6kzfA&t{3e1Og;BwF)R9nhps75UHU@SxZNvLeV5@CB= z5e#j|J&?-HqR>Ygdq7|VH8A4+O3w>ipIt%B zX4};H+0#eh``E4DUU2yAxwR+E&Vd-fUrhc%+F}2328Gmvh7D!ernKvGDT`P|GVe5C zVuGX^IG}U$Qt;B*8bw=aQ-q|UaX#Q2F*860Hc{BvQ)RIrk&UK_8|YR$mj<%DB1Gu#99oaGMVFwe$4XY@_$Rqd)mCZP?`uxX|q+QOc%AAHW4#^ zG}LGqY>Z}$D6k*E8O9`ii0Yp^Og-9*Q}$9z64T2-bjoSveKM^uxT@d=|67KLIHObe zHn>j=Fq3D4Sr%2CZ19tDq<1>!h)i|RbW6H(jn30D7_VEP`H05~DZymJVs9?hNr%jS zvWGv;a-bc}gFh5O4QJ5si|&63C+7=UBIM9|>x+in0ozX&&t^{Rcd`)+5>W{kAVpq~ z14AHxz--wB@*60#L5~U!$Gkl^=V1x4NdTPNS@pql4^HP-BLm2*Y zOx@P@4YC?j;qVdfCwxfNf-JcSPCI+bu5CTW2k$Y=t`BVRh>Iul)|;GCY9%z{R@>l! zLZf~{sJ>61GOI)C|SRI_YiL47W_82wwt)vb9Xk;ziTShRdqCv zULwj3o7)+`!V}+_23j_ubsGhS9ezRwKf1m{`Mg5xb(}7O{n)D1Y7`rQQ6q$mW_X z$(}fREhzH)ttTOj9j`{*+*}~94RRAQ4dXEiqzI{5CFKKZWG^cYP74PPx$wd=Bxn@) z2u3aI^xEHF#hye%5b6%mfa9*&+tRQ61w6cTdjxF=?OlC6)ZZ?2McG3#WkYRz_NvDk z^sU3v$9hne_EBppio5+#t-ErMuwbpQwZK{WbkT<#Oi!zsW3e}H%h<}D`VRwv`J{DA zdSzJk%c=scmh4*r|G*5HW4bV$dNJCbgAR`#A!mRdPi_QA@NDQElXBdCcY6*hj> z|HIr{Mnw`d?Si-uFzDb8gS*?{?(XjH4uiY9ySv-q?(Xgk(hS--3-5P#zq@^>ymF zQW7U|2a&S_CQmAR*Uep`hfb8ex_8CUHzZLdahm1G&7pv&f{>hczK=abEOxKl0Jg*J zhlC=XG+|ojb&6SN<4o+SLU$gU2S4ZBik*&8-}LrDH&206;@>45x%&Z5>)>Y^u$0QJ zs>#tqGeQMqEV^NTCU92r0p}4DjIT%NRtHrRfh6B`Rl63`8l_3(J&N}#dN*+aBA(rR z9ApDy16B8ml^QeO^#5*__cpe=L~{~0-{fokX#uUqPr)9pf)hL%RwgWO&W}V3 z#|+f6AcVS9pH?}6D`TeT01N%8oN0@I}a8QvmzOqXQ6S2IOT!unmoj`j` zteK8VC8Tm0-u{j0Lbc##gc+KB`(#SrC14ZU-S#F3n--#n4$9`Z!_{npj{j6yG<>{U znVimI{$xv$-42H<&zwB9MwjdAeg!A|FZzG#`kn#F8#Yrb%2*<6&|^g*8;z(=#_15- z7HV(pA;YL;lhP^Y7=~bVlQxQ{#Fez*1qVyF=u`*6)?l^A1*(wI3CC|6tTmt-mDJdC zi}-QHctevIa4bte1!-e-*Qt zg-}lwRw#e&l8xTscoreow}UXoo}K5k1p1Y24EVMu`*@0qg}#be0BPk)FKj(gkb^a$ z=wYh3+SAi{)@^vjmWwOsRFy6u9Vsy2GxoF{CipuQePY&U*Jb zRl4ow-I`h)gV>@rYNdIv0nToX;UJSg+UQborCf1Crk&8;z>{G@2YO8Iuud%e$6D@^1gV>n zZ@Acr$Yp~}f6kD}XmQB~`q~?`temnOwDPQsNSJicHB`$uMay=xr6O)(FzJLJNas^W zD0;6lrP6@|nxeSuOz)v8|IK+vTNt2IdqlSdG#9lavl`kuE>TUVVXy~hDG7E*CW9cY zzv$J@!OvZ!zg>IK4Z7YHsauI`5kS7>A(*(biznY^#&=WJ_fm5{p z9WJZYDV1D{nsETX<>(I{o6Hf=cp;w;*Zkl3H=LGUl(vnMgGrNbkKpQSlntC0^M64Z zYRzfqEK@pX^8>3uo+E~{NnM9QZ*lGZhQwTMyl~n8WXCJnnd6Xj6&FRHHDtsto0P(=s?mdHv?)<9JlJ)Hp=lCNkg5-RO7ene`fQt3x>3 zAgf&mF2gtv@afGv>tAYPl?=DS5gWJL9RVyj&jItzupx0v( zs_?)2i@fz2)|D+;E2I!)}(?qYMkv`erInxBTDTFsNTd(jYWI?(H{@h3}f>(6OVTj{;-yTNHu zb_O)DPy)CcZg%n82(P^OC-!rMJH2QNrjsrLC2F~6z#Iscd2!+3)Fi9&7&8YtJJSRTu>E+_Q+DL zsr14TV%cUrH8VAiDi{2RaN_Kn3h3Dfc`#quYztVbS4K|SZD`5jLh?7T{IY;BW*UXT&)!1 zOTZ~tGtyWHccgRqTga7TZqy2gEm92s6SCtPJct<0K-~bjnwksZajVzE28~W(BCM4h z-u3R+=Jc!WgG+eQA`#A2ED_VJEXsBl?(2S<`hhbG1(Jwq(x=)?eNisalOs+?_Lu1F zwF@rxN|9ci(xlk|04t0DD%Q%{t#N;QR!S5)zSj!%N-1j?2fMJ^0}RF4!0Mn6S;iLG zk_@HSoCnlN*+$pxy(#x{4hD&a7jeBG!Q07sZtaKn+m!--=zH>rB1CKjt(en7vEj`v za6-th2lsMY3X3A)5h%rqy@GbWkmgNX*^|nlN`ST?dzI+%;TsMm99c2BaN5)J8LRKy z5?_eM!A0%Z>x4fPR`|LPKCJ-GLqy2ccF*n6CreK%WgHC++OnJJ`sAD?Sozk^<;H?0 zu~~YotwF1a8j=1qb-)ywbZjQKHgI!e=c)L(Pj=j2lFfx0x7|B_#=-*|^m}|__u;tX z^SN3wcg;X4*)o>w@khte!sq_(u++d^yL1KZYUd9vwmt#80tDtz$*)CfjT$`x-=}>ZXd!ryhx5@n=T@goLQo z&&+cjBEvPxl9BuOy9v~ue8Icx-_~K=wv5IMyx%!shtkA2DdCgG>VogwroKy@U=edG z%?~T38s~OfiNpEqG;@Z>LOBg!4F6ET;Jl77h9juJlzaFBYX@ZpBxK@$p3kL zj?c@PC9gccF=n0FVW*I$$dL!7gbi(MDfJDLdNoOuMM=gF#pYd`@H6^_Ku&?RNUH=z zu}WjLoKIkK@`!K#bV+AianFWib6#I`O`b;}he4)S-R)=m1m7%~mVH->6tv(RL9=A+ z<_T5Y?ryYwY!V`1%$#@nITz9Ql*}O#Y{{NEtc46P_MS$>K6Y4*i9F%SDCo{g^*Zlp z3_V~TiLTdULBItFF@RH3yf)+fjVC=C)n;k?Id2h8=!N)@ccD2ud!EX_0t z83YB!oYxMS7cRUTq}+g?@6rbZ3Ho1H|`d#zAQ z)=X_iS_dMOqI5=2%VNR#aw2Ca4FZTE@R3not}-y-BrvhvzSQLu-XXuRW%Q75>@|gI z7MfDu>efk(rc}H&EM=R)D*soZ2yxo7F)pn?%&j7Y5k;o9FGo)XPuM>PHd=;A@pl>I z`F;o99D8p0?O`PtUXPa-SFTL@iq|}_v!=Jac3f6BX9h2GRC+2SdZyDHrl;Yp_L5zP zI7H{F!q8%#db8C1E#5hV{tgH)3X^7vg#?R(4tA`#@H)t7qpe7`$C~1H*yldb&~e_5 zHjbI9>vtDrEVl$F^@V@4o|v-CjJMPt?s23ItY)#@uqu56n!KKj{RTzSoVs$RAyh$9 z47M=qk}n;u<`u9O7wbf)1st*5%RZOPU1bk4t0QV|pXX*PMGatp+$1@|9MQL~V_OPj>Yr>6^^L8=%iUPHU(%Qs!GKYm1Ga2_$ z9lRU!=-J{pJqNN6Z(%>{PWeZC%(PIwBv~RqWqEsm89mp6rMXFf!*Jil#3p>(sH#EY zm1Z{{D4m}I+#hN{Z70)d;Mg@M{$)-x-;CM8m{sz@-R)y}U zGq8CJyFKi?xN>t>TsWUsJUfk#3&1aXt>a{U6C|mS%fNaY2*!GxEorvun0Ov;Z`_*Z z<(_a}_24&LSuHYs5Y|0Y`-oo*J?7K%`@1?d7A{QRbpj@8KT~G{`&vBlC0E?*^CD-d zr>U!S0OO79(u$4_kc*6Zdh$?ILr4482c`#1L{&{m0$<2O=dSc6(yAOD+woCKZ6WEW zXk~%W%GR+Ou~qPl+f#s*r8%7rFK@_a%1VxI)ntx^Kza7MvMD+(hxaT#@ z3Y7lQc?QEY1Un9orzM!KPgcQ@HTfy)OvShuZWyH=^|Of;7S=Y=5!bDfKQfAtgk`L3 z-l>gP=^hHoX;8R%Ppt%B>OA^1>H=GBCB&QnVR#hl59zUNDKx>n5;|dYxz^dX)g&@TI!v}{%u$HIRH{zgw;h)t3GB#?i9I<_ zlj8b2iC<98Bsyzxzh+RUa5gpNpvk51z%qP!*a_4Ux-v;=WH^hQAcTZicydM)B5VeK zOx4o#qAT$_{un&G4?@*&#z)zEBrZ9G-RmBRr{i^JMK6V2buUC#@E0;lXw5!F{-OCZ zc+h_6u;3v5*kE?t!GNzuKYlP=nA8i|tc~3P4f<6_`rUlO#C0fnob&r1249+bTooq{ zuuMn&LSxHvufXIC{JG@33n>MQ_^zMP4;OW6XwhYKp=zBAI?hvK8SJxL({gCV68lx% z47ivPzwwL;0R~pO#1!9~_kw!HidydD6HqBIce3Cz9DZ&t&oPO}#aDr$)}b)DaYDn+ zJCk~}kt}6ZtSHCiq*-Q)BX^L(lP4&M%y<@AXxO_whwpFUe&!gPcicusGr4hlGVD@> z)alE^Ot6=25@Gawc-f6=k$|E{c2&G+5pl*G8@9A`MiOv2r>+Mvr`%3@ZD~U+t}nH_ z4q`(*FNMi%s3<(0k72QkGRnqeCwW9Q;yReTdjkvrMz&IEZTsFMH|h+GqHFAE^IkMH zFOays_kXgDoJ{?$oBCDd#m0LZGR!2dI2kiU?%xw{-V)HM(7QxLL{3?|wZJ21xf6n$*c_I<)+Yd_roLba_AR}O&4Qabg4ed}qVZYT z@3ML;-&hHOn6Ye+c}>NcGBHwAHg+B!7xz`pw|_uzo)yVGpaOyd>0oKW&%G2 zUo8_@Vr=q2%Rq}@=KLWgabD6D-R0o+Gi)a{>&CUbTsZa_Tub2fK)aFhG z+cyKvD(A`q$dM6t1;MUa%gcNUSy1u?iN48^U0Q54Ixw(b#&xH^Ju^kWZY%no!FseCUqOmWC+2Xw1lz@cz3>qnYZJY+KpB!Vup)EO&I?9|6zbK9hx2L-sdjbtP`Ir?;|9)T_ z{2q_z)Iv|A39Q4AzCSygh(gZMP%QlMi+UBiAtk8nyC_9wU0VoqDvTH1Uk=*J@Z>#a z#KR2AfiZ7fN78sl2|JqgM9S=J^=`(Du8Z_)zQ#uo&+j<2qMWbbcX^D%-5+Eu0roOBjpaEYRO|6* zW({!k2rfeg8dhOfdkNQh@_p&m^QI)}g;27Rsb`!Ku4Wi5iROe7EoPUU zuD|PL1h>hjS!K^sWWq+pQ(VupfD%%WqaJ8_*^E!w_N^KOu?1L^r4IR2%%2fq)rj)K zcp5QJ8U+^&LKE`sLxguDNh4=l3->UTTP+Y6@VEt7NrhFK8588$xQ$LCjFNU#$U(ZL z9*_mig#IoiM-R&7Nja~Xgeg3=QrYncSz-?AT0lY(b+E_S z@r^im)0lOOMdk3!D8ro9MizjFmrJ7^I_eP%I{l?awWxS|VP(_EBl0}SApnUiH0(1j(EWowM!e%+R;+xD%{xQ->A3&bbUI7pG(TG z!u!0sEX2ysz0|mI&%V!GY+vzR_-^{F?PFZ@w24rI;DDseEOeMn9Y4GogxdYUYFRT+ zuV%x=H)f?8>^MRj`0gN}iWBV;VO!rCr>m+zib5^nv=Jzv|L6SxaCtwC(D=+AT%A(g ztO5V{>;Rm)Z!T}r@mo#*OcL#9IJNpqbRA)14Hxg>=H39fU)m|x8oSX+Q1gB_^0{8< z_8M?~bid=aqg~ts8D6&xA9>^I)A_;{q@VZRY4CAjcizWk4s+FmnjKBhaf*F+Wf_#z z!~Xt)Z1Y;0^_F$2zLNv!w%VVn;NGQu`n&b~0QHPV%cFL6f_cTyyXE7v`Ow41=fh{s z@BJAFUMdUIEmjUlV3)(^=kv63&d<|%y?-{dv#_g{A{b=#FlHIq|L*wlcs@1o<_ExU zE!6{Ta&JtPZd`&7`M!I)Z^{zCEvxai_jCeB?Bv9dUBZ1e1|Ir@cD8xiAL-rC|L$y@ zAdy}UOkH72v-ZM4U0T13dZ>9*cHseRuYl}G8<$(}@7M3QrD~y>ew%*J;u0Su|M;~I zt$0M><9`VczbM`HHI^|ss^KgAtC`1K3{{wxjS08dHY z!Zn_c`VAwNX}h~Us*|Fj%iRN~M-A+tc_*z41;5Uo%-aAV&_|^YVop(I$rc=Z)8?c$KVK9?GkLqOu_P{nlJSge`9a);4-zj!B0lH zZ>ituIwja@%dQWFj)>HTu#l|%*Un!=Pvx>J8lGH=>tpiD&$MlI8^*GSf~NKg z(9vdK4e}G4T5EsL{?6;tg3%hcqqq}Uhwf@NkERnHiphS%tCT?pWAcW%Ck7cbiu4T3 z1#t}|!lVi~+&tZ^@x-r9$%^vV--Y;%3L?v357rC2D*jtZ1r|{jgrlzy7ClRUS9o6h zyGZyia#dsExNvT!dScYrQDHH1z!xktiB)SxXKSyGne%RY^~$ojGObeT zm;T@`Zb;0|MsRhs;q;neM3B65p3rRo3yAox{7b&i6;8WS)gb^5hDuhRGv$vmN=6SD z0ti1Ff9d~f=@ILHFFg{quy!_aq!+a|a5fP(`C2JNFKuFL=4?*L&dKn93TUOA%8hcs zfGB*+8BDLAD$L?HvdS#IWQuz6mN--^Kv@rp3PIQ)@wA1>w{o(RyV33ECk?}dB>rPjRy(r%GNR$(l5->3WaF(9P*!ECY&+#U(Oc)UDN_=2YF8XaM_l{i znLSI5T6JN__+*I5t+l!qP^U1~ek0ymd1Jb!VzLsh#pyCg@=DFj6iY+bnDT3?st4b{ zGKEkYBkaq9?xzJV-MPYf!Xao|#-DsekH4<{_k|*m#Jqog3E@a$wBn%ms_=6JTAP%8 zIVcxN`euIFShDlFUm`v1L#p!g+^jeeBH$_yS5*N$SOelxwH)N=;n0pB5ZzL263$sT<3D&2U_blawpg zU9`ncn%J5KAfnUpY+rCTYBF-j4MXm_IrD8Reb1M4OU1kKv0`5?TUkd68RhP3Gz-Pr zO7Qe)`GSkh0Phdh{q5Y;ceo;gXJtMIICz2Bcg$8qO&@ zr>8EHbmZ1t#%q*Zc}-SgFFeOSr7q)@SXoa=vpft#o(DI1@4^*9gfqLkp*~WItDkQ} zlja6V5F=8w2czaC$P{iKieb#b9F&7&Buq+!x2K*d@8tLFQhv8ErX?Y&XLbsHks|JlPZX(edb5OaMY>r`pb`g5jgVT|AV#rE-N4sZqp{$)qQRZI`qWOpCMP0Xru! z$k6x|LB%u#oOYa(Qms5q$R^c=xfTq=OmHU}geTG!-w($96W9MG?R`mu@D^&c!rU*^ zK?t02L_r7^*7b~IV_MckNG)-Oku-{PWF!*($S5Ik45Y9^;y(oY<46%WgNQ`FB;qeg z;sLb{q;g^C)NtiAiO@pQg!5Z2BO$XB%ZuSu< zr$^D|r1C{SFHvDB1R{|=jK2^HM^G;j1fs-l95}Axmqhs`CG-+Oa~y)=3VxAKfVLk6 zMf3m-MYA6T=P3Fur2D0h>jedahQS>b{k7Zc!c}s4Fthmc2Bh;>JT#&Q;TU)*&p$$> zIG(se&;)34eDRy!H}Q_jN7HMSp_R&+1T=sm#nfo-*`UrD#CHf_;mS+=nM$ELsgkf* zFo{NWfmF8mPtbgbAQaN1-|}FBuqcxDc@U6fgh_dM5YUow@?exM^LLZNsN&_QMW{Td z5TUaF3Q*CqjU!HrLC7jq?&eet;ld~hO9&Yu2hos~;?e>FDSt|XGC~GZa-;+^YL$CB1w1k?1ErKb+i_@xSdCj9Y|6U9zz`(T>P=sHOKkyulzX)(S6!-1}*R%fzN$)MNCsi`4 zD;aZ6HsW%ah70b9Fv=(%1Ih_?_=9w0*B&9l=vRz@0|Md*A?IY^ zwGpvaxBW{==55yC3KPS2rOfOaVsG^-HIvP#^d1_LNJKSdxl-W{?Z`#6uluGPHGVO z9>qW_Xs)RRRSyuRp1|0ht6Hj8ascMib=vw};9Emp-}?PpUn>1TKwtb*W#6juMpah* zG}o2QSCDC>b^K6ghTGFl;;;}8D53i!n`3L|UXi@L7@z*2>Xl@pP`xlw!=kQoclvfk zpu=I)(7c1;lW@H;#p``sYta+oG;smj8Y-jFy&vKYGrQB{ z)!I_LC*nudcS7PW^Ww3F`oV0sy4$29Zx(7)stJ@@QIq?4AX)P!SzK!4V8JkZ_(S5) z-yz93e-7KyW?urmE}?2RHHismQEW*zWp^^=#5dE*G+NtjD9J@CaHx5y?XN7EKMC02 zQh|2vMyZn2{ETT@#_TN%++yfha&}0ekEo<-=cI%^RxgQi5B#2g^6G&;fawGtl2 zsEKWM4&ij;+^)H_ixG*`H1^Y-*-O4GIqyh#P#(C7+_uhK!rEKiVcm*6l5M1x(%C?F zxuo~aqIJ1DlOM5VmfDlblluGD2J(XG#Z{fDGqtmIe@wJvW7v~ps>X>97%h8dTWHq(FC|)h z6@SUxtI>7pMBcSkrm=S9UxTa4w1Lh$^UAx3G%xgW(AK`?MkK9X=2lbLf_`Q}PRrVU znZ~A#tQ~;H?-}qsfA?^=wLNiMW=#{=MNm4niaKq7|FfKV{(8LY^8#q`k2DM!wCl$1 z7hm>kGZ?((o->W5;05RJzSa_}$&dX0yR3Au`O##n9e(E)okLPg0Rh9R7gyrI*|Q`d ztJfWk4XIM+jkM58oCFWnk0}EFB!{sdmP%sX&MsPygT?`tFyPn$8yTLW0~=WkL@GK3 zdq+*K1A5pDo+q%n^)=J0mnhJy|1#Y105l+|2A7#fvhPQRsb`c&R zelF3$<5X~Iaf-g&^7rIV8iAl*_UT1*TA-9dq82%9Mz59L-^`SLgp{E1`Ys7J|Ki$h zrC@srLq>yy8kOab2OB!Fj)`0J+JZ1i)Ycu!k9#l+tJZjX)u+zj@6o~;%if_tSXvE zi1LWQe5m_RX%Yc#oj*cWY+)B+$rC4xTNHg+BhCb>KL_zDjUbv)OkbqCWgkOJ+x;P{M9bLe6p&#yIw-rnuZ|MOGmen6ggBCQYA%8 zP5CQVExP-vMlJ*sxA`hlAGR)YDyddcesqyI0m=PeWLr$ zX3k5xg})a!e_(r3vcsKdo3vNp>plm%IoIDm4qjkNm9)Wa$$hACqKhUFr=|TJSVo2I z8ie@PCP!BBxs62NabbX*%~ambpb@X72|q*LW3-$5hoYx<^45%(mhR4&hx`0%f9nyx zum~L~aaRd`F@6OPMSZP|Hs5+qpl%*pboCX>K)5{bRBy~)hkVNSM3)5I+@o6sk6fD?&IH)Ap5h&Ijy&_!lr@1I_u_a zXD8;$lVRFY$5RIPG#|uB;$0(M;q61;8R-=hP&nrdM@kcx*rb~jf#9xf**N3i$sMy4L#=xsS+Xy&{VJ!NrBgVZjpL=Wg1 zuAEkpSwt@-mCSuQWa|w&c=ySCOZM@UZX2mR(4T?%FooldiHQ*eC8> zgP->kn3LD-Ou+EO`&)y<|+?E2`RkiH6~tn2ag{Bzlq+AV+_*P>Uy;m`=eT!F+Me~*;3hJa!sa&ldzli-<9V2Jwrt)6EWlE z?}r9bYe`mt&GqiJs&5FbWClYy^fRb+8LQuu(^#9e6mSHLikFPLroJ-=jCHICJ>=+f z%pY9J5PMxs^2KFZ-LJj`YvmEgFCu9}{0+N7+B!t2zml$^;W+5i1ct!iOuDOPy|b#; zSLAc`w@e@`T4wNW#y<$T?)i>RbE7F{Y^&-XdFegquX*tz+c=3vYA>Zy?+SBEAi0Tx zgXk1RYaFbf6;(~S*NaDv`3GiWcW|rDVVHdjO)5bK6rI8ckb{qj4XHdDYAJuWfnsHyX8eYShWopX~V!-4;gadkcpi0hLYTaZ<R`uW>JIm8WutAhMw z>C~e7?B^m=Mbs&PFo4<;KS{MVFq##ZkE4ks!R{F?=1KM~_gatp1vnEytfQigNxKu# z{bTtB^GOd=#a(1Cl*g(XWo7AY*&^KX3~{u*`RWIn8X7OXQuTxb;z>C=8Pb{CIxkOr zix5u5rI@Q9Z~s4x%A?!uG+ukvl8us*s?&&}wgZ%c!zw2&1z`U8Mp1J`A6I%ZJ-SY> zhf7o%ikkADJr0anJk@d8p^8RnG(4^BF;DyghcW@5kI@dq;3RxIirDKe+Roi9>E%2j z^*MAkYzNK@)83-ex`vB$53fZ{61r$*!igvOIo8YHwm=<#QM%z0smRNC1bcROzqMS; z9T$s(0YB;11*`9T!r|?!m6@x*M&7&~vtNyQltD3Qd}SDzsD+KmUy4QX0z*CA{0EI| z{rl>ZR@Wl6sz+&_o(#@Jn*O^x$V!sk91E5@lA9xN-ELmv6+8q=-Ws;)%Pv~(UKaT! z+Z|^ZYOVuG=52d(vT_Ki&~~_+EV6C?aS-lY>I(8wH3WO&Idd;8mpX1M1}HAmY@0hP ziAF2(9r2TV(CE+a4t*FtfT4lUwpOAoh6R`HrL&lk_EHQrlDK-Jb22yl2R@_qCB_Q* zG8w?Tf%r%*9vqt6^&{r<>30-2b$!_jzm8c;qxveZwS`2(+BvkV@2l|0ipZs>&BR1v zHqI1Vvx%4JkEftyTnj*7Nc&@W(vfNtP#S=K+zVve` z+BFm4`f1L5n12IZemv9gPa*hk9*gAj2rFtpXP=H@C(KswMnWdBFCqSu0JPCPx2kHM zzcHTug%Ph`nn4#n!u?GiaIX%AFGlSo8i+rD%H*PS&ij+)byoB>4+MgePR6D)pi+Ad z`M2Bs$4cAq*;s`(tuE-9zZ<-fT9(qHFufX0t2?S&3oE#m)WN|k1I-n5>a{P!A|8d9 zuXZWJt6kw9+*;>cyxJ9y=7}itjH(?bP90lep_=>9=MI;}lF~c>SE^aI_z;_l;sznr z$R+%B5_@zb3x6-XjrTr{gl1r$r8ZK*JHd)d0*OQn_|<*H>o3+O`3>gdZ{H?qk#0XG zW+zAEyz+jJGepS7Cxl0OCXICP6Xchr)$g2d{gZP zOQz>=WdTHK;j!7T-PsT^Ts=X@DDXn)6TCj3s_N|OE@Inl=fg574g8oXuLu#`oy_M# z#8Lu_X12=r&$Zxz$ev)1ZyI_1Ws%6(o|D7=?-;!V*PuZRGd3Ip%(Ed~mWMl)EyNOdK)4Dd`oG1d9IyjV369e-3j!FI zd*;~t*_@XXYRM?0*Y~yNSaNk3aqXs8rS;!wY}nC_6$Z$w zpH3-s+(L2f_sthHNT0a3$7>vUe1cP+W&jqR8=udwb^?W#LGg%Kz-hU@JI_9Mobw?; zudVMlSAIZcY00OM!a|gRjF4TM{o^2`DJxctoIMdgxMUzdrW8y!X=^* zapiE)I~V{4zenjZT5~297s-k?SE3;5;^;pxe>pHX4 z2!z(`wepyf*R2QtfrlMaB%1t%;7>wwBQ8LL=hOf zhPHsWTy@tS|Hof>mpr#2U2JPi*|Ah~5Ih8YkhX~Ut}5ES=q+A`Saz_`208?v<1YDg z+_A$SbIU&kT-aqVU8aH+@xsJO;ySL)BABxf&1;8y7)j3R^X7C!ff0KRD9co?ezs7od62Lu(Pbzg-ah>`K=W=-WCQm4pdnBu#$b7 zE$G~Zx-(7yTyWOdz4KNc5K+0N4pHA%AGjY-PxedEVHEp#0a_DGiGN@Gg119yBnO*{ zX#mzl;0C@BfdoszdyCCfEi?^uDm28fY3g(L0G^3Y>92F@J;JQdahEi9fA^=syBj>1 z*%IrIUF{!79zQDU5;Q&2?@sFBqeoqNLA9BHMM(I37GAU!SIyDG94D(w zYbFs0lb1~kjsXuOlPM%^&b)T4qu8veBOJb7*Fxweh^{@=GQ>T3zbmVZ&E@)K43C)E>Qm`%#{1ffb>JCdNf;WLr!AhC+=q(yf4h zZ98AgEN#jAwl-faJzTcc7S*Ev_z#3nc1icwxq)}duXE;EVr&9Z6BP2)0lU!mnx6hw z;EdnL>&eWA@0r}SpKlrZRckwHW8uoc-Te!+)hmIDC?4LU&izR1VfemVV$6p_y_j;- z{>NMJyPo+%uii!3k)Vm#g@oVzgh~-RQPnkj3yOLE%D$9s+f@j6_oikNX8?-l(QWZZ z#X)1&$Lob2?c}MLC*h)E>k-hoU|MVy>sPiMe~{!^%1hUW_1i(j$7|cXX4-tLvq)L7 z?Znkz$;NXyD!dOl3T*Zi$@bi*8C`z-$C+5@t{~{=czl@VMVlvH-qTCZS>}N4oKPZV z#n#QM>sxKL>4D@w+ueFK7tTjT)F%fKyr z^NVzHmjcQY@)sg1QZO2r(uA&Q(dM{NGWZV-P+XP9(%4 z!x4AFVSVPxXJ>_z|Kr^PtMZ-Y!${&5oV}pO4`78*gm;gp^Zp**=C!4@Iah2pw!t?a z@+_)D%*V2_*UrWT;942hhIY!ftJyZMiCMZ=Jsxk$?^Z~CboO!5c%53J;F@MqEBP{# z)6O;%ymYT~vYuwu-{g*>+^rGO#7Xv7bxV;{ciskD8PT%@R{q7 z4><7KCi|W*3~->00K|dnzuNX6Rs~T0ueKorLhbpZUO=%*_y5zjlYuVw^<&;KI=Q>) z`=YPT50(-D@QrG=$+=Q&-X*>|&*Nm1b8gXx%6ssq?Z7zp3dt?D#{qyDM$yj!jC@hr znIju%hjo+Nrh^1h*p%5V4jsJj0j}BA{QTXCCztd#bDv}AIMpaNc|AJOHgmtcgc*A@ zuytTZ2a2p{?>8UwrB6i$&MWO~$6!FoO{9Ha1|Ya`)c6|+5d4cxUfGAPO0eJ(vTPCJhmwp}Ya3%8%eEhawC;}1mV!Fxxdlmi56ZSj* zVav_b0Gu|v-ELT*P#eMU8%UUv!{=QB^2N4~kyPFO<0$LflL4Le(9V%Gsde~4)GjC9 z|J<2rQrLTRHpY?u;XJlKt(+T14y*d7sq9tDzreo{jvWmEhapTSW|5jg9 z?oC%vq5hiu8VvTTL}uu&pB@u;FMLwRf7ND>Qz)#Y_1Vr{H}!Y*^^kg zIQiaws<9~t=UcLV0u_SFn0)fLrNmaL{8x$pOb%(vDjF*26%{I9Ag@BE@@XKr!cyfE zsFYPCRFeO{(9@3nPm{|75JmY%g{qLsk29s6vd9tRvhk1#2IcB6`0N5!1>!JXNX3#o zddTzQ=MZ7Us%ZG?SmhTOlu3qESeL;GEm?ko%8!bK{Ijze`KnSl-ld99P&qAc9SvWV zLAR|~`U3j}>+hfn)1QJ;^%n$Z^65DKTviIsv0VBDsyj5DeWik|Z=Z%Wzu?m*ld{Q| z;ji{p^vfsz*A@N0=$8^_{%Pz8V|;mFZC}8UOI;dz&{>%g4|xHU5s$PaaA@(rUGTJ% z>-YZpF`~CM_5NULItS?X18nI2e25hEdw=eo8h*D~v7yitx|rd;Y_QQ{xy(>Y{n6nT zIW*n9Jbln2=GA^F!sd{(+k;or?CYy#YvBs8Yq~~s6_82+T963xzfDryv3~12aSQS# zx!l>|?>TR1dFx1x?X0oE16XNJ9Hln2;luzSQLAI0mf*+izjbW8qxF8&c5;<4=r?tWH8M@}OX%G^OLUwh#YC^O_BLhhQzFhV9T)<-x~ElJvy zI3Sfw!@PBmhxMQUvo(3e(B(y`U>K+V_HI|)%b5Yi?;Bj2cxNp!+-s}Jm+v|UPRiS} z5-VZL;pnQgZg|yD5F-Rh?={j`PR`R0YBrpiZY2;=uZpCVI|BXXE!BSN_By(^3ymsS z*j%qa7eqJp-8ps29Aj79scQw*?q}CG_oateO0#Zn*=IDf)sH~84Y=)kRsuzHeEht6 z_(^zXaelmUo0p5zD^;9WE0-AjrIsS@Jv`wJr=-|@yJx9)%=H>aYV%%LgVsRSAxSQq z;APB%I|z3&7y2z9;}MUpZVmk!$1xFO@B`IURde(+7QTBt+PbQ=TyN#E>+hoBdbIIa zlCcRlgvZp#l<>f}xrA!PV}~3!6b&xN+MIzk7dcKq0gx?)h+JM=v%+sQ;}P5)z#Rk*Qu!n*O}Y1sZkZf7!!-0 zBnjTL`GHi+-dpcKyOO?N`dgkcl^Us!!%+urPwEB7oA4V(j%MX{P;RodtI-kEyKcJ0 zW;QoEJ!rQ~L|otJouVh}tD9b|M32*~joG>KZ3&S|{97C!h5s?Z29sbyM!xqvOp}0NV}ezMPf3^AX)6HH2hVUdBDC8F6FXK80gF z0++Fy3|c)&u2*bKui;aUAFREFZe8Y8wY%858ELq?q<(f;#JF=F4~%3G4OcTGv4dM` zt|{Cuhm^kd$C@q3wh~(xk6qBzw{E&7CgrzuG-(Zoiaf5#)>vFFQT^0T+B8XAi~_06 zKO{Y3a$Ww^Q9jvfCv>wIvne(^RJn`IhBiFD?r-xsIXK{xU)qzR`Ym8Jhhn3C+G#qj zPFxT|r3;YWZaBZ2K@(qkbXo%z3#F?3cL^~u2v~-#2%G%4K8ul)XJCTk)M^Z#;`;5# zPq`LuFI1%|>}5!(lc2^&vuWDyUag2;zalh-tu;t8Cug}}XzikUF!b5!&2F9FN?CaH zYWZEREGd)>DB@Xj4H_W1!lVz>kq)c1bcy@#OHikO-^)NbF zYm`N%8c&g+8yTuGE*Fe=li?Q^oVHS;vC9-Ctrc1-RQ+J(qXSo7&jz-H=pVV){=sl~ucQHndtM2xnE%Jc$UJclF|Xs{xYT z;eAFnpDzzTC8X~sB>x0dW#c%Z|ckH*(qin`mtQ=hrjES4=_yZ z$<2nNeyQr7j|XYq_{k}tAq9ieP2_2&(-1|QfUN{t#_81TQ>}jGs+nHH=pqG(9e*fa z4@`jBh+({?|DIe4J@j9&{|#Z4+_X|@&k{$q0Tvgwd_jcSmRg1hbz-7Xbm>>MvxWL2 zM7xbFE3|_&2qT8s`#s26`%c|sj)eP~er=IM``#ch=~5wZ=is|6$?FSPeJV+LPcII; z{E)||D_G>om+4AY7*%Hq9T@N>*@{_F zh3-SAR9VNO9M}@_L>XGDozCstcYfLkTP@3{LFs*IU~9QVhn33G3<9&!0bz+|OuQy( z)~u%3*O7qVo-Az(Z;v7Sc)<7}8vb{s z9Wn&?MWjN!y;3H@0SbSAd9K z8n@f;{hX*i5$-68WSzxIb+imZXR;1`BUVvH05CmO_VP3w} zjw=_CXAFR+-C}}B1=;%Y1eevhvovf;7p8qAR04$N=LNmnHrw<2T}WyFX55E>O_$X^ zYGCe(MXc8JOZRwydq0C|6L7)Lo#Q<%Lxyh+&;{c1g|sB{@Hq6lKF233!z_>AXltwD zs=5=@8ZwRm=AXy7$JYy9j(6YD{bbTvI*Gac;l69P^_-r-n@UuH$F=qWQ~&7ymQU=cgcc;{W5w zOS<$l1=NfKyA$a{d=wUjw}-@;k-^0ac1_2mv}i!?sUcF)i>DuPBEOzLWEFR8|`(8VFM$^l_ zZlXKj7BF`An-<83x!=g7-Wzw=!pE zs$6oJJHoFj1@}isT$V%rWFH)pmi2dhAYjAq(S4XoTu4Re;hQ!D5&aUYkLU< zUnr-)Y%`@dm8~bO;v3==p3+jlOxrkx70kKY-T2liY*Iul+xN5#$==7m(w@I*K|`g( zdSh(0oI_A7rw@=8SR6ATxFdRHt}HJ{Z@Zd?&$^giIDM)>E6PE)s~N&ZM^JijW7@pX;mbRpDZhQz}KQQi0OSeFke zKb4$x`7jw00Gm*aKNS3<{tqF8qYb-`tk`ayA=I+Efw#ZhHKqdk*)vYjPH%se5_9@{ z0iO^1hq7h-exDC+)xkS~IQtYL2rM}bR!lu5JlD+=Rf@l)Lq&;e1shFWMmW|(X~-BtbNAqe-xg?DA|7#L}pkGUS; znY0qk`A0AltFdAW^fIGRxY}UtXSj+d*IUi36j6Qezt659tIjbKq8lC7By2OFQ!INzy-cuw2JOu+rvxZsvwg+ z>uL7WN6D;pm^VHKj{Cv8zASPp3lZK7BwykxUq-ACIDEN@1ASW%)-$z%9EsF@$%z~+ zb=hBW+U&|a18%0`$y#*q+s=(ck$Fhy zQapXW6Ee19+$Ut?2X(8I)Sxd~49(%(?(jPm2)Lj@ML25ri= z=HL!CnBbF{CW4gzWOVX0XUM#JsMCIj&^stG7O_vs^1(85%mlUnllyPK^Avi&igJ)^ zr?Yq^!C|Ii6LvOdlVCa}H2FYF?bfI=Q33v{O(eTdpT23E4{Y7a!D>Pd3FeCK$m2FD zm3JiMw!_n8dpm5O2)ym=Y7pwM7T}@d#mVr$@_Adi^1T^IxDzSuW@vTj=9&1=>JBm+nT;1p|B-_Ry+-6eXZ49*MwqB z(I8Ij>G*d0a=ND>{VWi3o*-)WS#15L!D?2vo;vxtsul35_ok~*bgA_FZ&&H z+@eJQHfEFMK}&%afMZN&H3|rOUlaV4Ic600;jJCjs4sJM?KOX0ynf8Uw_@HE2&}Xdoj2 zD$rp(7wf5Y4uaK<1=GN0kswBIRhGW8Ivf7!BKg@S^#>=E(wFw6>|;mY6WBR#K<|*K zANemT;XQl6>8SB%k7dxn)`#gNDJfTI#!bTunuIs`LNYib=8pg>N3C-y|0H9kX`t2A zxOC4vm8saWLcnbFz*eXa+9Q{+8H2wgR|{(;#o(%SJV4N;m@8AV_u}2kgL0^ z*2Aw?QXCmtLBv?ZNtdCzGRU#aLkz?#o11bF*iPQ)tTl}5ZUcrjw}WfJ%nRG?|B3rF ziTJ*RvtEMj@eiy_clF3!2K>ujhLSmGK#Oyfngu|02Wu}x_^C>4q z3@sWkiOr${<^3u0bO`sWNw^)pfzoJ&4W$+Nz`DUWO(KeCye89@{n8=15ccerLf!r8k(j1iT_ ztp}@^;+MlofbN)MP#Yv{)Of`=+0WI1w&d#)o?Ae)4I>+B9Ej9;0@E3&Vi;&ueOJ$? zyZ3QdusNvf(*_nbWVMm(PY+UqV?|@f4kSxPzaXn`+vtZT;EP*Fk;8P{Z_oKpT zTK89%k#@L1BfWkEr>D9juJ-Gs%cavtIC#*-*h~R?A(svt*6LZBx5`l*V!j z0au3zgu!;uYYglo={^R}>CEKEQY1!u3Z>YFE~EY_l)I=K@)3 zm$XaJ(d)f`z7V#OA_rHs@JDyG6hX#GwXGlrP2&*9_B+CH2fO*zSujB2#uPY4mm2#1 zl*mL?bJQQ_tn#|UNekphK=hM5Qifr0qxIGBn0-k|6pk>@50pTsYk|h;o-BDUFu#4* zo0E1h?-JX74(SK2K5YRv76G#vq~aPNcdoRCYC7uUtg$)#k)Eb02F< zC0SSeqzcNQ3z6{gOqFM8snH|dM+nmD#{ea%<35fTHA?YIf(M}pRkecYHEs?%>l~1A zgjx-f`08v2O$&j!5Bp7vvDqQ?UBY1Be@Ocg9mrh)Y_SAgL7Fl-4U&?_7S-dQCDXe+_4lDyP$T!_DH8ZNvxqw z{aMD0D8ObehqFHG*pWmAL${H_-Hqdg|r-{d_+?{mpx5f6#kR z-gzYGVtm0Cm%x7g4zg?KLEmYV2IP)Hr~DZvGB%;Qk*0Mv3h|i_5#lEaHN4SM7-*Qg z=VwH|OS@*Prqj6!eC(}ziF%KM8MIUO`3{Xk^6SxFKnnBo)Aqm1-G!{%_P`8Ujc8U< zq67;Od?uz)eUH~K|8Bg~^jOePR}GytHDIb%% z2R#Sd|8A{nr%AY8a>59oYJ{-fc?;L+$&IZ4KCRM-r+$m=!x|s}M2KECAHJ#SHYXc( zdm8XyUN&xD?&iI3Jd#!`Ramwwk`pU^Sc#YQ6yW;Fm&->KHmz!p_PRe$dp2@={TwyX z=2W`0HZaCA>Yf_nK$rIeu9XFWT0~B*c)}((Cm1d^RSsJ=GT=;G=~(5pPc$;|744k- z{s_BJZ&;nr?#czr*@Roga9pE?JU69%oYN^zUL3WfEJd(9Xj=)EY|GFWc59}s<%e8f z=*Gq@IF$&qJt6o}C)l#1#JoXslpCM4`Y|Gl;nDXuRt4xJoup1azoYx7%to%r9>?x5U`+ zaM2X6+*@=OwFu>xw%;4SOY_N8*P9%~ulz(9={(Am_HNo~+DXAdcF0j{yK#Ax7MO>v zaLQbkt3y9YQIPUcql^CIR#(Nc3~yX?th6+aG$K>DVD7+)uRF89M0r`fDEy6D#k4ZM zA@`47u=894YG1g1Hk4YeZdO#7&|GWCt!TYg_HBBu_{zPS@38jAPDqb;!sI(0F_Ef^ zb*!$IO#9g3;48Ad^z-v{qL%Ex=c_|2M0vKK!?sw#b3fxP{vy z{XtSHvCZm;t+|t@kX1EnX6z<`zP>POH$c3tdxM0W@ePvOzU*FY0Nc59fB0k?aG;p@ zB^?ds^@Y)RrF^2oR-X1yS(Tx`4>dOicv zt?46GpIWqu{-y)dAph~v)=%2{UBAi;if88Qm-_o&E3Lb`J9|MJWWw1ky!J@c}1byTP;=Dzzy1qNa;CtAT=7#)0p zlVtTNg*)*hr(}x{jJ7J*rHsYTpS;d*sTT*ChIuA)-11{eBJ1>Vi8)eNN8}3(@UY)Z zhr#hc(#T5cB0_(-dM>zu!<5qt5`d-Eu?ILtt_!kZmvFzPFK{_xM@j^n%j?6yrd~hm ze^(ssud7T!v*{cPAh*fTwWy+!@OJn<0alT&QPU*O@7Z%ilygqGmZ5xLX{usY7*su+ z{~562@e=9>m*EjJ*k}VrSA3=t5UVKe;!ZN{(nm8+n)AXY-*`)+!lrcfLmET64e$C4 z^V}_bB#baz!f|Fo1dIbp&61Qt{6EUJdu)K)1|CR>NGcyEVBcQ36L(QR$+}#Thk0M0 zWw@u(MKK6p=P@$wEP@VPCa+_O6g{wGy2M=SZpX4qC{G&J>fbM$KeGoiWDAZO=9}B} z4Lk&$JTCe@*_Wp_`0G>!zmYF(#%{0W&Is|RK@r=|Gg;JM)--A1VYstzOE|*OtrxZG zf3V&h7njXRM|gWKA|o_uACeVn)-%h{i59Y&!Y|5%nhbeg zPe>$TPn7CBT6NK6@dWY2C6QG>w{p_1*n4!6uFNs&C$7g;LeFhn0~yWTh++aPI1l$S zfOQ{n()wFz(d=s7GUHQBoo9&*eewmIBdB2!a5x?*O~e>yjojPHSfQJvmn1B{)AKrs z3~+X1C7eY=tCX~k$(>wSlCq<>*323SEICMq`CNcZrupTHbPtF!+fUa^r!GE7bIJ(9y1wI>JF2V2cIH@yAWCBBDRcgvoq`|YDwQV=PyuZ#eZtV^IX|8hh z`vF&-lRzOAbyl{?6pY>1Jgu9E*4=SHoK}_&SsUzDSo(4ux@6QtZzfBDl_{zT2hx6J zxsy;$`2+2a!$k%IgH3vJSCp)hyxaLB%lLfgSM&W7Tw+sDqU*{i)|W1TXDvow8YY1b zc?}Z;zS2hOGN1@wRtg*`n>~$ad!sbQs>a^IlM-3{YCK&!Am<^kt`7Rd#H8o8ITs#6< zXIKHD`9KD0MAR8U=Xdwx?)G(^Bv%dD6h{W zPk+;Dzg;8nc6ahUOt-djj%gO(n4RXtk;Alvw3FN~Icf&F`4SAsvsoQkkYSOMz5|&l z7;?qmj^>9tY?MC)y)n=KD$?y+K2b$rGu73TwmghH<-gQ^w+$V>xylbve!}fMtWn2V zNlB$PHnlJ>iiKtsj0;g|uj1f**juW)&u=b3Boe}JoFn5k<|j%wnzMoz2t;u|_ZM$K zS@B|K@hVMrI_zZb%!%af4}QWUXpu2sXf8jUOreE8wX zzlN~=A?GSy+UD5t;s%aT^yKbpz`ad+m{SEI#@?Noe|-%K!P+(70}Jo(xUGCGUgWEd zZ|zFhwVrXqHjBlkvyez6Bb}+Fb6Q&~H&F`<9EwDP9gB=FP|lUK*<23~?-E3mPtIz@ z`t%~4=nNoH_GkgyDrUYOK)z1fmdSVA=PCZ-Wn{rLbp{m zth0wB0#Bf=-FO77lK7;~L08iDaV?DzkG(^k>cUrxmD-T~3K!vzvHJjaG;()<+oUot z!lK)!PBQRm=FIAENXWh8i0MA?SNgr+6_7~tWbgZnK7Sg#(h^k%k5{41tR!O2Q^+C6 z+F{o?WFVOq&Mc&j$hBq6Jayy`@~t5qI}Vv8FkY)(5H0*Lq{vHi2X2es{P!#0HBWZy zdH%Ce3wp{CtMVsfxoCchH1;pEh_K;F6yA$=u>VCW{}#P3`DIbTci^?3}TdW?~6>IEoE#^oJ;d3s)x@u(;T&U7?_RUl~4~ zW2L*KfG1wlp+l=hD{sa!8I-Z2v>b4FJvHYu=IiyVqcHyrJg=(V7c``P)9tXY+Tt`6~#Uy8%OVfj`dwqqRcqzAeqraK0 zn)L%b6coD7u&|P0J{U2CQGZu<(8%0tV}z%`6J{WUf0w1IUiTQMvSTnK0>TD^G2w@Q zKf2X%OZ4*VZ=Gl07Vk&R$zr7%r`gYH0O!X$JZXtz8DUJ)>0y* z(}FH#X!yxA>hAj9a&laX@~Jzx>?bcBRw1tk3xul%mz*ctaXT!V$Cy{FHr~~5x%d2? zt)RWReW%}x+4DIbn>&C}Hirp`*2 z61cSq9HV<{EX(;Zv(!p9e^k&bD zD;!2LJ3@?j90q_YO{jl}-ba=7$yt+zEhmLtbj68)*{~MT{)~|Pd_Z`pX0?w8PHHK1 z0huyJHtA^5LO2eD8H<_-BQ-Esa-;l;{pw!BZ^~J#YYKlimK-+(78eW+f=0^Xk(vUf zq;p?=v8DvW_|z*j2r3~=bsgEfud{D*?Obvx3QeQv<6q*qXfL9fdKM|I_1a#13*G0U zeYI}jw&*2{r~lHc4qn2cV(fhRBZ(9o9z66;_eQ_)|4ln8@=So6iet#hjiO?LIy5l| z{QQV4xWsR!I#49uc-%;}0B6Uc0y27+gk1j4ch{SIwQO%WeD+HiSKrvs;EMjmYuuhw ziw88v^T7!|J0*l(aSh?LIM>x#&myh&QtToO3^qs3Z^e;@1WcjQ$F)+6;*pk12W}vu zv$xNZe3!RQc*1`Zv#IEG&>SD+9MDte$Bp*axl6b{qW8F z9S(bTxM*{4M=l)g%)k_>oRrg9;Kq$VEJ?+6KjvH~NE=ncC}Iki{rcm*{o|`u6waZF ze@N&i5`Iqo&<&rvXnU5;&mtSsWqJ)y60FjrcG~R2Q)slAvh^PP6>gS&M)%X~6>|eN zAO1XehJZ^?JvQ0@dF*-6vL+)veq9@V-y%8Qjok55ZB&4)By_z2cld%y2JxEdCK3~N z@bzc6@_S=tm5F{G?X}lWnKiQ$s%Z{e0EfQsroH6v4YqK^Q|0n_glm4{Q8q6a^WnvW z808`+=I7PnCTNmL7qYGz^jg@cd44BsY9!w(C^L;-1?#ruXXN$u*9sT-BYBG&+ zBale6TcadOL)eFV=*e%jx&SFCN4c)>^qeya_9i1<2%=!OD-{Y)yc7`TUW0SOO^^eamGA)T~H_%x3uSTw!Em6o#VOjeCDM$Sks5R@F zDIo2^C0T-Y!$J!;CuAzYHU$F=8OIp|q?R###Ygr9plHc;K#gKlgySBS? zo;<*Vu3RP;wVdI6rrzvmxH#3Zeqr!n^T8tDKTkO^Ccx8emmoRDt4>gxhnZ{HMLWCa zUb(>33@IX?nguyCiK5{jb3VYaMxoLAt7-x+$r7cC5Y%zQEy{jvrv$shSr0l8; zw#(8Nnu!2~Z07aAH{ExbY*RTAx6BQJF2^vKLWKoU8zcjn{4p!$7-8&HvML+~Y6HRTue19AghWw~DNC7*4hC{7>;v{f3bTZ}o4%*R5aZ z52>H0?ztMUhwp5X>fI{RnR4i5oW;po<%?kG^^82wMvm_DDP zS*orOva4GCJ@$@$mM=rZ}^vw^xej0XJD@LhUIJQ4p3{&FIwl~m9IICDFr~KE=`Brk| zSZJ&@5?j26bS4rM`)bC=RTNIeoW&&Q;gJnEdhDsHF`ozLqDUz*Gvs4dy59>IkE z@c>>XAMeoywk#&zb&1N!rQFJE?)8TP4?EImY@F`rz2$*A&@H|E>&h!$SAYDSU~XG! z;&2wVnk4sXu1_?R3pOA+$N*l+L#m2U55h%#+_=X*p;MiM@?#%ACw)D6|Bd%gIA%lQ zS4ki#o>qV!?vJg|&jVF_TzLqruJ+`d=9G(iHs&)x#D$lWtlZeQKyG7iBL!?_?%$K0M6xKkGd z@)#wA2Bz;Qszum9p(^gBn3?^Rj=`7nJld}kz-c~Eb8MAj0$dD)dn%jGRybdp2m26r zV9GsZL~N}5ae7d;+a<`l3bk|3gQ236UN<-!%7Ul5^yxXtuIXxX{2nHuc-h@b_ft$_ zy2PYTkjmoT<1Y#Cyvvh>JgUjtug|()a@}RF-z5>mr+r~|o;Q)tP>lD^?pU68q4z^f zhuzkDdy?;{Mvjm9_Gh&UB zg%VA`YzStVgQIrH^2pg7`&_64$dFFfrOwGbzJ$`Eo7J7niw&saO0$%4$6Fdfmx>I2 zo}G~%DMR!MAS7m*Iw8ok>QC>4)0^kOp40NxWng;tp01fNIc4g#5OTlMkZ3@b>*)r) zJR0tHUfE4C+ZVs-Y1*unj2|Z3l2dO&TT_QdKMJM%M();ylpvqtH?N8?c8yW2ObVHFJiOF*CXnu8@DU$*C*}AfL!83 zES}M}!qP&kMdZg7KhcP^CwY@@#@{Gf@nlf#IUUH8D^~&Zv9E!tQ&)joMP~Hg-fSS% z&(~BL=G8y78cwsILhgnlSK1LI*RF;A>XNrD0}TZsoIMrQ8N&WEY|Pfg5sIP2OjV&g zFAV}re^*h-H^JR72(N9$3zqPngoQokleN;}Qu=Qwoun$JHe^gieHZ6QlE#(2EYNgv zc>25Yt38R(nv{@Ms85J5!)O&{(Q+j`7l?3gs?Q;;9bFmVu%=9#Dm47ivy2~J8VnTj zW@sstsnKHf;0O~ziA*zr0gp)F60JkIYk>|7(pYf?X#<#-^s8vO0Og{m`+%e^Yl|!@ zVgpo{*utk2^F4M3s3e_*bs|ZF`>uXiiIJ|&H36>49i5Ct9^L?ZuF8=@J)^;LNPSE81Un(exlZDa!a5=BTQf=tP77awO2!k@7R ziDQYcve%=17;@@j&v(lP63@3c5%_wXNh& zXl3Ai*#i@j&Sxc9`AIJl%SK+0Pn~H>41jHyzal3gLN^eNm~<}p7c+-~ZpXc7NPTyL zs_X(x7yh`p2v?dv0`3{cqp*Yg&Us32Kpt`cr&uQQuX?4v0j``o?#NO!qJ#m0uz6?& z>pXS304Mqb+N5|_a=Q^+SFW-IO5-{u9KgF4ui2j_)MPBL{{dn zPo{$1mY0}Pte<7^9|m-?LUmGeyD{dm4?r?26k?iD8ijIRMoE_1}el3PpA zOXkR14fq$URbz$r~BUD}6nErPs_+2S3TLB@ajnZkHfiF#v z671X+q*0$wI7Hnoe6<>-Ew|pz5kA1nX9hV3u?3QW!BE=-fa1n&pnb1UMmw*M4j?gS52^>Iv>s|4wq=?tW<-D$LSy(@np z@HTLFYhKx&)egVo^Dpq9>ORDQoiU?31|29=@h>EOxmPr0ovXJZv8%|Od>f9Y{y9!` z#m;_}PxV((k`H*<7(I1~`)Rgpx=|T)usBHXNNB=Bta<;Kx``2}n4p~oq=nGxywA=i zHo@_hFO|in%_|KLo;*B|IYHF35QWo1w-CHs&MM_fQaw@>%CEOzP+XkrKPDW}fAoBx zG;s3}Vgzl-J>7I^6WBH`fQ_p4SYVg8&)Gzrr!Mb2@}sRiATkGEl~XBME4|Ts?*{5P244d_&EDjUlk3FZvx66-OJV%j zZ1=^N$@sDFMs*TB!m`*&%)pS%@CWsCRR(<-O}AuP@o6?PkO`>zrb=^d@W3Dp5>@ z3Y_B${L!+FnjdalX!n+G>iWW@m4rd`T? z(M+T&zF`R2kx3G8($N^MCdXzX}#(G6)CTb*f$-=+8X;vd?`St()-GU zgndo!Mv{Q_S|RYtp-l;N>TrYCoji(DdNa%*GCXeYlQz(9!wfvafoX6qv zZfp+t3oa&HPZQ^3f3tRo|I~in?J5>uppji4dUhyZyB0r~%X2Q{WqT##6UW=$$K%8y zU}MuZ#z-nINX+<#d6>(D^=1%nXNtPlw@hNSG$4I|FRy^iGo}*KxKTHo|Ic%5VYr%P z{boPQ#0Dk?Md*sI`*I_3%ETK-!<9njPeiSPRl~sMIAz!?XUrOtM!qMb#oAY{XVM@q ztjZ_8B4>hSf#_v!xXxexN2u<|ufcON%p-KDpF_yeq|dm?n}C+LjNjePMK-$~@Yj32 zFW;YQwq2i*fz@AQ;Ax|f;Zna1x$2V~UCf$2A*l8(=Hy+UB%2JKc}W$>^5O5aO|QIr zYX#F#3}mR&-3@dn6=_3-F?*5;g|8wo7jz9dk{MBJ<${JJ)6u076&jKo`6C|i`T6$B z#~<4pFP4|*Lke!?W((JLM6+!_hp;}eL^(%>(?toHg2D3@g`5g)m*iJ#UX%w-7(R$@ zL1BEyb)~C6E6Eg4oC(KrPR2PBKC=Y%I}rbx%V;p-Ehzr@u~68>1pN;|5X2vYR)MC& z9oC?|CV{ak>os)>c2u8sa=jeLz<74klBeU_hqv+)LRdq5Zh<@OUtjM} zr|52e-p}^GZx<%dH^c8gVWVk&9DZ`yAV4=?YG_@a-q*U_%01grcDq0Bzdt_>(j->? z>yPPCc)spke7!JR=el2aH$V5&nyawjk2~SzG+lmk88^dOTv`HA##)YBAD^9Wue;lR zpF^~OF8ClSs1?u-|wTVex4pb?@>g!x;(g} zd|N!ZHmBR`oODkDL(7ltK;FoFD@(P0T;KiQLhFDMq)_gIdvsAtNs;?vPSr{pL3`Sf zOIUv9QS`A;Cfb0N)3=zPbA< z<}QEstRZ`{!*?K*5%C8JlJ`Q7M=+M`RC=FUG?R0Zw84%E9Q&(qsz6y|;Do$6tYYrzL+jzkKFNbZo$iN(94lOX73aGXNn zZst|*z-8d!ceMYqs?o}t0sjYfx`ukvY-(s z16fJ3!lQ`%6d*YSKMQkIPKSE&qvAyA7=tMsyhe*D%TnR8wU1l>Op!X zqtzIskOwn&|AOnPhz<{8UIwF7?Fo?|=|h!Qb%!J)ZdDP+fVym}jCjOA3e-Obx^P%T z93~}L1SyvpPeMBbIyuX1ZBV}JSBFTAmL%#&ugk4`D|ZBYNvapfbaj)56SAPC0zukh z*L;w6X$qxmGM`)(PG)r(HAU&WTOA}J4=X-v%TiIyHAM$RvY@SGYpyFc;}Fr5_Mk~a z^j4WJi7%rmuQQbq8I#N|Q08t_*wh&!>@JS2B@CjF7~aN%!OAQ$30Gw!rC3BM7T7IX z3iHOOG~8=&*QvV;|0dXd>P5+G#frsXoL4dY`8YLxImJhUB6v#Pq7h!0@l{71aGDn0 zFagtr_XMlL@UUcNt}2YnZ(1TCb7Tq#UJRTojU0%|e&VRWchP`>MJmUYr`6DN z2{tOKNT+Y~UFOP9ovc__!#wU;GD9Ps6X4BAmf3FduJfMB=M%PJqp|HpnJkOufjgGw zLv+&RxK%&Vj$T^*Vn&H4ayr|7x)Jr@P5V2fBa!Zug)c3IOzQu>V`nBW$P-&>#vf)N zD8INHO~;CZyr#NUzukFwW<{AYQnG7L_@jpzavzA#*;{!;$LRKqAW+c&-fd`1KD89t9!t744&ww5!5xCTySux)ySoN=2=1=I0>Og@2*KUm z-QDefCwtEf=XbK7nRm~J^YW#+yQ}KD>gv_2t5%nYk2Kg67K%ooqB7SO=+-VsWa_2Ji8GKmm?J){ z>KXj8M{kmOHsDdQedQ%>&f|J`_C^9F3_TmpFm}DJJeR1|IU>zfakd-NE9Q3QqT7Qr ziCiR`5)W7b7>*NPE&k!8<<*<6FZTT2FOS z%G?)GxJkk1*Oy~d#BNb(B)sFJXeYZkg49IiZj_)5CP2ZIBx%2tO5hQDD1eA6yB4Yo z5v(PXQTTyQfzq(6O?ejDP3Wi}kJgVCyR#Yor1{Xikvl6N&<^twjUs@<~r&*_t_6s)VG; z_=+IO8?CgkUXqfx9?evv{Z0hxZ-N)>^4T&kM25EcFC2B@C<#2E_7=^?BWh`@)TF1? zv`a5co*Pt71O!ziJ3o_zTNr`fn%Bj6ul@K$%P(qnM%k6ttfQ#6M2CWCqws#njO#E6 z1!gZXo1=4nKk(hvccGhH-!B*gFyAM;RgRrm?lDJr;KmP=QAAg8Nu3PW(kW5#kg61@ z#``6iKU7q}TjWmeXdL9ul|aX;w)l4(FeZjkQBH#R$M%0hvei`4G)0}XQ5GN}2OHDj zAAdI;j$dR{T5Fa)P63Oo6E0EPn=CS+XdW|T!jqe^oWv_+CcT3Rbj zrGnCY)yNOUV~q5fjv2W8}c$U*5u{<+bnuTvZ+1RdtOUohFJP|VXT<(xS|On%iDL7fQZ+oFku!y3h)_1P|X zI%;2Vz7DfWXbgBVeTC$P=N8LX`@-#@cITNInLIHBVZg?!6YsND=@-tCkCu(>H^qrT zdS@4L-c^LF%1z)pb;dG`u__|3SJ>1MFeC0c#?j2);yrAQ6RFw@t$lb2*O7=w+IK#v zViI60016Z3RC`N>&7g-w_y(-L^JRIZ?{gC)s5hD3_cx7bbp89D2P(!{Tsxa3y_QVO zq7M0#SyCj<{1cNIAjCf$IIATSM3HqP=eL!*PSn{*u!&gvFJ^_B0h>xNSeOzJ42dI2 zpBEtp-`NMDy!G9x#0bAjiviIK$pr6>duxMPY7_oUoW6*iktWN}v^SdV{WR?>Fxp6Dxt}$9(u$UU-ns0o2{lv zots_AH1ka2n;44XH=x8bUX;34E-V`wMitv_L4qO934KI|)-Zpn2n_!T8-^II1i9H< zJ8Bac#>yDW#r5;tjBvlNyBi!xnFKFsfsmNE_NmJgV^bZhK-KagUsN?R3d+hF^-=fi z{3c1p-n_(&ndtZ>v#vEL5voq)f;+r~H21YVB@jlOUA;Lpvs2IP;rFN)CoxhaObx}j z%|;8sV5y0C_8)$iCXI2SYvO17?9_BMzbERMW%J>*HtLMlxGq*&517d8^csteGhL{W zl)O15|H*7Re4AtMF<3WTf3dQXGXk)WPbk9-U39cw<%nEcLrqpKrRY+!^(R9)W>u2i zMl8%HW4Dg9VJ8U<+XS}A6q3!|as5M<@J(sZcPWR;nm?pfv)B3>E-et)g}O}xE?B5% za=34im<@+wE~G43&?4Iv+q?~e6fI4__=9$ttJQ|TrYqQz96MtK?2%AX4`e4p(jl}C z$}NlrD33H;O#XlZ4`K&(Hbg?ig7c`OE#Anzro8z$J&%bTdRDmz&mb|`Pr%=1S+Le8 zgkmb>kWq!J~MY7cEjnyY)k>s-A$9NHhQYBqnYbZ;UmG zy1J#ykX9&J-wttHg39H(FAcvfBM}N>eAsrXatcz|Hf^?CyoiXlLFk8gKBr4n6TUmc zOHpo@g`(c9ck*zJ;oC$5Dsy4pjT1}TmWK+5X(Y6T1QLep1$^U1n!r8#2=g&bP81f} zi>aSU7ndoeN#kbx)yEMtuML@sCZrkic1C$+>GQnen%c0eMX|^I4`53O!H_4uwX??8 zPc9^Ast7Cx4Jjh&jg^x*ZiOrUxN`Z-55a;#95lxRC67|}i6*IckYq;tX!$*GAd@m) zfKiAp_9q}pymv-+hZ~e1q2Qs)P`*nJYMgL(UPp7^>fT82*y*&iHIZ!?*yd zt@&ic5Sj+r^tw31>W`dp8_(4=>t=FPg^*f3NtQQC`|mYEI@$E-JO{!1s56wWm?y5n z3N^(pT)ON*^xsX0p6Tav$Pvmi(_HSuWKB@b52l;MxG&sq5>WD&aP-2QZ+Rx8)vT@+ zo0}faMytHf!?j)q%UNn736LQEc6U2(T_0xP?a|kShpn7n2TT10acB#uCE8p|Aft)0 zKbFoMH|O{+cySb-f6KJzxCuBR5G`4+)Dl9Q0^YD9M#jt~rVgl6Sb|O$BC}BUMA~#( zPj+BC?gb*Lai2q-l)#wRZO8Z^>q#deDr1DxHPxS+0NHw$U*%iyJM9c06?Z6jmyDz0!D`aV696YFqF$-B%I_ z+mg(@koX5VBU;l!4P?XJc*DK8%q=zoZ?ws^IqWkX(|-)kxyf5qOeED9dA7_r>81*= zxTd7cwpAIL@OB;RZxfJiUt1pLWO&q34fcaz8_1Y^GVk~xoA270Wo(<+3R@O0mL`ExFetkrF1`QNO|8xWD>vyV%x_5e&7<6)?)WenrqI zYWuX!oh0$4EH0t|LXidpTm#o^1a)r`xq7@r%ksHO%W^WobWSo?!+bWiu9TtNnsy8N zMq>pGv`9BGOYIISg=hw_BnUfRFZ?EAB$Ax_wNNZx)`;Gt+w*x$cpaBdZv;=rZTkoE z>Ix@s_uIqecmy7==d%wD8_RdkJ3B=Q$xKFPkr@YfvRvoK8x!%ac)Xmv?k9cuD@$Me zM6nj95 z*(cpEaHI&Wfple)G?x%4-7XsH%bnBi2%Fp*+%XOps>RUA%H9LIljWQBg}lBk<9@8$_* z-WzxZn@oK|Zfvw)`Qc~gCi|>BZ0c#KyN$$R^tR~OUXH{`e}=?fy%zVROYq5Bbz3=>OW2_%9mZ26Qx1ff#+;?=QbLEfGt`V0m z9d~U#?w;Bi(b;|%&$@P5x>!3$sz2PDEHe%=fS&v>SYts(IZ7#D(}FzZ5ane6_*pg!VJ>V`78jY^#dtWe=;22=rAK#W*$C3M=MwX9)B3& z^JvEZAnJjEFNoI9%_G)71iouaG)kNBEa{h3EMVKC#ito{2XYBBx?+x_YZ}#@;Vs(6k3woMGI8d;hn;Vi}sK*ytP7ti`U(L$J5A&EJE~1$jNT zPwF0|bd-pnliynNn>TB&Emv6du%Tca%DPm=X6hX-zRwkPh%z;4DzY@VBu~J})5k$O_P`W=hV3EV@YQHqR2=r5lbovY_ml;!BPj&;z*b1a*%o)8JXQ+w;s3GR*w#dpqa5@Z%E_ zxMn#cy{vfsE$r#f-{1;|pcFtAX$?9@D6Q&LBc5WSb3jT5+An6rK{0>gt!P4VAL4

V)Qn{%&NnGR=zwo5 zx8xCw#0Uquwn*~s9WMf^=2Ekx#W%LH?9Ije2jzwMmd(fXFN+c)9KCB7NUe+PkO*JJ zZ~>EKaX(Dny|aIC2Y%>WT;X~H@p!7i3D$r&z4Ix8R=E~GzO58kw38#cK+It9hD_$` zO^0ZF9AzMT>6UNBS(4}^{*P}<{4^zx(*X{;!W8{NjrE zCi+&6#IceDf~wK1ku zadQc;Jj}jBE`o;27xstwA~(MmoSB`f{L_4#xCZD#-a}T?zG~6)=u)zqav-6gT9TUoxX#yjiLJ=brW^4b+&s|4~AET z(P;vLq)jVGuSxgwC}eA`Z*KGR|DQq7rWJ9vF?2GwwfPw%dV~k z`E8uc`K`?L9sdlXpskgygQA_jq4Dcb3)HgNj+9EkuPzSkccVY?~e1Nq*9^Q{!Qq2=tINEwQ= zl=Y!=8PHR{xsl~l)+V|gd&Hq-l^({J_mHjqnzW~pl4oyWOigDf{CcDa z=kxz6^sm08{!KE$|7BR=Kc|yd{PkZy(~PgU>A#20ue<$E=&=7^L8l8aDVq-#yxm*& zjOJ~RPEYpCdjg9D5^ivFGPz^g4yFs7@EbL}hc?SW@(dhYzaZi)qgb)z0#Qo?@zl>$ zj!NhD~kUss{bB5jIRjw z?}F!-;r|>wjIWvZzkMMvz9!%QmUn)6_AlP~KL^h;fOph-bbP)F3rvzpdq9uN;Db&Fuo%FzYU&WJp3Os592F_{0ozGG|Ydq z4Z}?Pznd?N|DIjxXy{ql0V{C)O{;%q{*CEZEc=&rWnlrBX8W6_|IGZqO*6gXSUz%q8Y5MO5{Ljq4G5zn^3vjQ0 z!i?o_2K>*=zcKxvuox2qpw@qvRQ}BT8`J*@pE3Uo+TS((XXf9Se#K}1b*qv26+`{k ztwyH*e`z%mz<2V&g7F=z9zw3WjhJRfi`KjpF#5 z7eDhWvK7Vu71e*=YGnTRGzsXd{Xd@?{9lIu^HwACD|-Cz!Sjmn{%i33^6X!&Mz;T} zE=NB#ptlMOA-AIFg_cvBnai7GRFf9jL5N!8Z}$Q=$$0XPJpNF*p&zr)Te@&;h% zKWj8H|9jf~_t^RWt$azq ziA$;EI?>P^NV1ZJ;pIiG!pF{3>}bx1j^>`;PdKz0D#ZWxc+J3d^Em5pg^9;sF=S24$G@|fhhj3i2et_dBypE7dXER|L5;4mRI~J z>tJN;01!^e#EpyrlC6_FIjw@Rskx(*gF6{NK%+M%2k4M?c2>sLfXjd-WdAAMv%KQV z-zcV1#x|x-X825Oua!%Vb|6rASad!=Kfl>CSd^D$ z^V4h54w@_|H0Fw@KR)}-=3p7XVNtxF<-DoMgdihKuh+Q0Tl5@m-C1-zX5X3T1JVOO zgoF==3_id_$jI`>Vb|sJa=MsqooFu0fMe4Ek_$5ZM1r?w3u_H2H$zCv5CD56)UE&%A7s9!lJ$J+rJTFxaUim-@Pvd_beXsUAa0)&qQ{5a&| zf*I6u{S?VpGl4T63WSVeEgY-YG&NGIs3+C=GQ}Umd1Ors9Mdto54H4u7sRW&}! z_gJywF@q|NCvV%-I95%n-0o2Pz&R;my4?5;_Zo%9)jf;rX*f}eg?%ZG1GR?y=ITzQ zqy6LJ6uV{GT#JNy9&q_;g?Sk574#aOsARtM-prXI34n<6q zOe!ILndowE3Sr@9$ekb#cS5H}k(#Ia;z~p;@t;U$sUZ;>HwsbwL zt*uM3Qpn+6gS}~vI~GeWE6^NawZ&Mvs-0FdBb;9lJpwKxQ86GI5)oXgL|31A|8Pdr z81CJWO5Mh5D!GNoT`G*VD>;o}>hQ_@#>t{OykG~qmxB{cmPKfZ@afxiC148F%6-@w zC}cs;y%-Ha z%PR`xj3?3iGrLW*2xz-i!q0sDHX39kP`tE1awp-&`K~-g$ZO%7IFDV>amub?{Hyzm@ z5A>djdLE-5YN1w0U*5MuTkI;e2@*Lnue_Rt{+RM!WWAb`Y3P7ZFkOB6)9C>wH;fmH zCFtDdCY+8S3jrLt_>t~`C0w9P|R zV`h7SP+iKa!rm(<#3L;9-g*C>y$FXOH@Ms#VkpwN)(kY;Nf2cqc@qvX;{}}nY$r*4 zykcR*#`oo9A19j(j1|Pi-X3x1`@v-$Zc0rhB^xtSOHy9#QQWsZ zsfs?zV8Au02D8>o4f_-1dm z)o|o+)#)j#QF#g8w56_mA$Anc{jrZUzj@}+lZ8YowA}D&jo#jirHmCnd-MkdRT^iG z@-SwS@U)h3kb}5j;HsQjbt>3cwWDsO>vz)f`b0^@8;WFEe3)&!rK2xzsU<^XPmCJh zby|gfF7KRtllD2yOC>Uoo4a|yE1Qon1%Ce4NKN}QjrALd^36K`cQyVl4&WBT9$c#F z*&QF8KhJC-r8VNbakyc^jzGb}xo+eY_5i847jZQVDf>1#Hoxk`T;FWLIq+zmm?$s% z!#DyACsx0;YtfW!Y|`yWrbfitff?)((bhgphhc%s*d^K={2UWhU~y>Jwo_+D>Lx&$ zCj=kOPK;)*i^o+trn|cU6jFjR(il^_j$>Xt&0vHZO|nf}xsYO)My}7E&u6>OnQogR z>58#Yy9T(vfA$`tgfezF&g81&OlCZZXC_(dIyUW+mZf(p=&P`FL-rIH8bgT`!Era% z(+aJ(I7f){SQ$RA7~0m%(hukRE@u+jiYo_|UuwRktqC4H$* zaYw|wlb=FnpQRx6AE+A}G#zka2KL9s1n=L~_2*HRIhc*WWls7?-?u6w`;=}PWQ?z1 z*vX~g5*OLLRMjn|^;Is5l5^*u#$#S@$B|UWa>vo}DH-wZnO<>Hx!nSf^C^Xa#jge{ zK(^kW@38DHJVRl-rnZ*aKBh%8c&v>sFgfxd$z`aJ*_ZzCO2X6re19m}62qRIrI?X) zMRhl-+Q)nPKu+hQw(6Y$z64I_gcXVxxf6Fpl2w8f)yK*a|W5`iDAiuPU(2d zQv0Nt(aucTo}N!g7k%le4t*POi%0ULJ)KVEivWSEKGccx;}^6oS~TaKA>mbe2rpV*hBolVyy^5Lk!c42#D2?6iM?fkT@}UIH_3b z;U7**be$@pXiO{C)uIg%qG;c5+0%UKID-hpi8VMl4Kn5;?1#4V_A{%>venlW ziGkg}?hr*!InH<{FQ9*yyz^mJH8l(Nyme7VjJ`6ZKQzG8{*lKvl*M#;ZQb?s#dmKHSP)I*)rZ$u}vs1Lfh~7_N&i^sqPxVyoR&SK2@631o9&Cx6L0eHkVsy7`PxQ8M!6*F@ii5kTVS>&eX!6T}8k zZ6xM}BZ{4CDZb+$K73(KFX>AoBRhS$efB(V+{t>_%rdlxU3wqvK_3QoH1C!K*{87q z<~9D2qpG($o=O0B4{@n?!-H#`=Shbzm96u$yL-@J^EK3c(pP!?E$n^i<{uwC1~@iC z8lZ~Igjg zxDnb9CDo4~)gQq|`DG1#LqVv@uL)nkixu9H2lx(t%HnZnw!5K0QKj-l@sbV|Z0du$ z|H5=T>nhPTdh%GmlvlFbkB5X1OhT+<+JqDNVdt-*?t|n!{0K7*Gb-(#JKgSg=u=W) zA2!M38sEEEy2R_B1I zq_c7rakdc7%k!XHn$)8ks$SxGIHYIP+?}`yFCm;)TUCG5c z`h8k{T*-yNV&9xKuiMt-M4x%#k?CS+hm2>wHb1OmI%1tD9R()&e7UDlXx6}6ap3dG zO0A45DyT&ds8L25kSob&mx;}99A@@`FHr>_T=jUV1s9QeMuxX?)p%S-Sf12f4DKo12>bJi!VW$nr-*Y6f~% zS`jOKQ%8Izz-SE{r$0K-O!W9aui(=&(6Rg~3^23=a8X3x+T6;WOj_T?*alzD!Iu1w zv*uRD4EQX6=q^8#$>>`f)5^*yOR7jy{z?l-N)70mIa}#F{K}!|SwHir z{^1)FK(7&Z(zh}<5N4x;C{!H*w2zli)t*R!yCJPgzHXFSr0~3Qb10$0rGc%Jm0}G=j6FcBH zE1f1Y3p?P*qRGs}s?A78|LZp+9h)XIE3-BuJp&*goi-yQizWjjgEk`*vnCrGBjCvT zM>=NqKaT9be&aK;vT8HYF>5k0vuHEXvuZN3FlsX~0Bo|dX)`hXOv{4L$_}{3{L>zt zHq%f0>`dCsKmB0=99fw)8QAHxUyYDr{fA1V$?{9<(f-FkIM!EM&i|uh%gpe)JFOMB z5FSAHHu&U2c%v0<_}OQC|2k^7D#-d~1iknw%Fpt7t*1waMPX+8ry-^&@e}xe3#@IJ#suE($+(zG1O^%J{iBgmuLigx`<^>noA$ukR8Q0}I1H z4P^$U=jDY_){ayN6&PomJ4P7!tva%_5*YX*L0%reoV?OoC8ml{ zP6~cO*yC`?C002kW{bs-X~p#OY28ft(&JRt`BmL!odX|aEz)S8I4+hr9giCwM;?ze zjQM~*%DsWheTozOzI}rGLHlEd9Q6ky8Ii2LwNNWxYM`Ee`nd^FUY$EPaJd&uIa+2) zM#BV6v2m{)bKf+))Ix~;SGeZtsK`6$;X27 z+GH|}_wk!?T1g{J@zZLK;~C7fL#i;N{&g-Ifi4=hIh=dPO%>@^_}oI#77@7cBYu;rE> z87tZg@(9Z;Tr@LbV%0zo&MeH&Bwis?qSE|$6mA?XpQ{#yL7G(qTW)BtLWMh`E;3c6 zU?^A(u_|`7q|2RCFK8Wyx%7D9>QXLwG&KLPuK}16NL@Kxzpd#w znQDw#(VM|qk^GcrY- zr>T{PXouI`fcHOSo1@L9spKU-ow!bg*C~`sX_l8yOD`alx(lhzTmqHw%G7aBo4)q|lcI{7QQyeqn!7lStz!wnbxqwjDlF4&egY!)}k zy?XO+LrLvJcFxdrI(BZu@ir`%yI0&`h%+szwqBH1#NbWy`*cuxzW}<}5~&``!FDMV15h+W!idVWi^_1vs&q>GP%OI? ztg7fTJ6}t?!1M+j(gx74ZkVjMG|z((w@4#vNIHBdynKvYL6qJqbo zZx9QPD?guBEvr7XpqbzgukWBC;$qD43=S<#jg0iHudeQ|Z%^}3F;ILb{eF13+TIdb zosdv{c?>>AZ^!}Ga|iBZxwSoE_hx7*iPKqEk?`CU9~7SV?V&!Qi76W1z4 z6{+98<}H!}TB?1A@d?$M#EDN+=6TLT)}=Cc#^3V&z0J z`Mf}pT48xHMBaBwbxa6gL_bP3!fFX9tQE&cyBBPawbQYz0>VH_=Z|+69JdEk85vE7 zGuahY4vn65;^(xLcXc*pQjAN(!m8NU4?oQYnl3tK*5jqcv+Uf1^83S3x_fk)BvpK%LS`<7_jkuBNEKXltKWGtBhGp!2(4k3us0S`61j zGo4zh0hgI%u=hb7{jJ#EqOka&{48%X? zj~Y7gm{BY=S>1FH%U(MrGbM0@b9G4Mf96EDMagnnwdLfEzDT(@E*gmd7u$fLb4VYu zbnG9BC>z`Z?~r0A<(amLmJS{JT3c=1dEZj%Z)BM8oFdQiqmNM`SoB7JC%)6=xO;h! zm{xwGLGqn-^;B=>9tMpr1KP#)-JysMk-(7&)#97_TW#kL%!veFnoSII>L>J}E1Zls zH58>Q!0E4<@|oEQxO?i`3w&~ls&ZM`7Sz)i?}x^jpVg-W^T)2iJXOvu2CQ7yMC`WKTlZ3dg*BPL3y7OJuH}U(c)sh`}S622AO)_wSU=? zwk5k zUo^5vGtn7UN^txVTJK&YYfBd^#$OMj&oHa&a9$l(MWaA6Y&* zIfbh~T)Ge%2a%0kvA9B021iB+npr=LweRGdGIK@=!w^~YKanw9Ht8g7W4F<7Oahl^lCA)Hwm?&|d4LEu&s&bqNmr@vyd!eZcB5X&C=(OrLnVQ#GYQ_i%sy!~4b7 z_wCFp<{Es6*aIIL7Q7TrQ-&Q|+Z5Kb0YA3t?jMRFTO`X%n40x$ZN2_-P0xWi3N zt=w6hq%sz47I;l($bLy^Y3M+{eBb7I@R^!y_n}$T?bdF#Vq`4rs|IoliT7^d zx5~?*wa)C;!jZ7ede)0h*4dt0pG<>eG$$F9M`GynqXaH;8hN>u$ZN?0w3eKv3uNTg zkFEl4o5LK6Inc#G!*Lh&4M3SNo1vrlWw|*@#R~YqbRdjs(zmd7f?aN&aUvtoYNO8t z4}`=pr;L^4t34hQN*mia~tva}^=(9U0Jv+D?o*d-TNnFx84tcN`TS= zL+ZkKQ(P(n;U@;aM5-zS(S8Q9?4hLKeUx2QJjDRar`+-L{=8ee(KRO1Xz1~18BP_- z!o9zvPHx65-rG$44XWOCy%|Ie{t_%|O*tt5`{_g7a5GAOtZjN>5jj2(UJ1I0*0uRQ z$O=C135;&C&UkNHWfnQ&H?N0l+EA5nWxEJ14v6_+c4p9{*^mjf?C?9^^i23RsD1F( zVIF_HA}DVlmZ*48+R;>KY42$EUk&GR~GSw22Bp@!*Euc=A zdN1ESnA$OpSHBp*K_Uu{C@`=1alg>BBjTl2Hiv%6g`4*dWPEgA%&+dcm#Oj1A zd~F|KG|e~nIg8EBwm|I{HP4|{-*;{ej?u#?@v^CkC=Enu)8 z;{|@Rhz!~_**ENf;9}fs5BmD)eQM$zG+v__x0TguSZyG}D91DU5#ONm*~u6XuK)t! z%C1Uf!u?%7@_N{HKH*7qKM%c z6|T-;On#X>+7`^hIftkUEc^v+XPlt4YdNyq+zK~UXl)>8>^%fng43J;%p7HHB)2pQ z6{`I;_MulY<{t0fPV2~4?#ul7AR3LWta8d4jU>+kz4@F^^44ge1ER6h`S+S{1@9F4 zXNr`)FL~9oUVIu^gV2wUS&QTIiX&k2KHcplc))U_#!4D>@xl0Dl_^sfr<+s03ea9+JeyZ@E+fVCmI&2!PpB@@`sNYPw z3DjsRqAd`v4e~JOywdkOn&byf2)Crn-p(%=rx4TNKOhrYXt#!F!*0^-Hb*O8pE((A^+vu zB*j>;+B{QAji6-_F^b%;>KHy49<~Z5={$X26Z8_?PAZCU6>}W@)4Ro(jY?4%L3H^x z#u+Yy5|a8G|>O;UR+UKtu#?dCF%xc$bQ~W5F*XAF~x>Rp9sr^4%`3AMi_J zad`3yXpy{mP1m$(_pe_x0rIG-+Qr)Ml#f?KTmC7JvM~RpAj$}sFZfFkWn}oJ_5GoU z($g^jBvHV07J5d&v^{z{7UtheqWA(<`i7RTh0$Nii^8v>ek+Xr%JA#*U(2HGe<6#~ zv(f!Obx~%zSGp+ctKm9-EdepJv9bJ90-~p<`=3Klyi}l+P()E%Z?4engcF42)3*UK z+Gmh(OdMe0E);tN5a>MLoIHEsMOOb{bmnz`NjZDnd=aD#OlEmQr*0`Hu+(C86B5i2 zbey}A7h{I_c=m}8rKP&9r4`?lPnH(&eVckif^)pj{0JPQKWQs+lPZg2W+rABdZfic zRkG{(*ja5~)_&K%83uw^^@BLxE9o=TDuvhvs)(MvJ$0H|i;=TrTKz=1z{ySNI^UmA zUX!pSO}(C(%FWTZliE=3%MMpuM?vYX5#<%6>3ibHyqpq~k%;M9bqKt=1K&HtJ{Rvu zo&FZ2wqEUtJzl|a{0JHqI7Zy|3a;!FL* z^#NAEL_5sbuqFtQBBht1udU{XNBQvbvJxEm;L>tVB?OWOg()2sPU8@r{SqFKF<|$> z4QNz*P*z7?+jD}p4J4k#PvcTv1?7Pffw1x74)Qd8+Cv3X6WGk8<*D1{cjmwvm8hRG zr!5bnn$jx{vYOg(i$!;FuE<)8aKA)P)Lfa@NX7HKm+>Dwzao!?v}>A!HqOzP|wJjhLx&4cx-!E1RXK4n!~l5ERz1W}1w=&h z_5~Kzu!rMM5eV3$0k_0;!tj!fTYhA`Xz$J=7fv#4Y}U+xi4_(r37&M1g1 zH5Uv>PI=+C6D5NlP-RX@c53X>95^QK4k*MJEyNn6;|@rnTI;OZKK& zNl8_!A|?NLGMu{9R5a*Fk^NYxP`ltEZo_U$H*~bc=$t-*A94k+u2eU4x>9 z(sZlJjr)?R%U3HzNUh3m85_FgpD@zYg{5n!mrR5g_PMP2Kf3zWnFY=7zdV&|_^A|> zPfuGM)LzYtlnX87C7Sotmd(Q*)w`^)XU)m|D54gPikVa!*T}!s&tei263Y)V$P>)0`6ZbJkp0L(fWQYg zj0wh;`fg>_tzy+lgWXBqh3WG{wIKkKVjxY-cMy@+`F>?Q>e`CWv|eAc-q7>f0PlK- zE^1YcW+$C$laoT#_0%wHP1yT8);cX?!Na(^dtIrXXuf+r(IOY z_y_^X&I`k1#81q84s4<3Bhq^I+1)^^ghYQnhz`nPiMP7#jdG z5EvR+_gvr7;(#R8DoR5~-t4|7ll+?DD6r0FNZaWUQp?V0xUp~U6`D}x8&;rJ?5qAgZh-yehsvse%2;? zu|r|u1z1AfClk^q=FPU&izz&#q^9OSg_|{)I5sqVk5$ej0cZ^)CM~&=AfVpnkkcWwQ3Aj-| z-hK-f>eiztUB?;jfJfCY8V_My3@GD@3ebu!%!riM3O63?(skE{@8y}J4B1R+q3ziU z9ZUwV79$7j-uwQ-r~^udcZsE36RP1mf4 zyCsI(*^fKHaob6`0tdMKDY?RB?|5j=Dh-;;idRjGH<`VLMu>&{i4`~`AXABJy0i@n zzd9vXj7#22%3_e&kBdU|x)n+U5DUf;iyP1ChnqDM1gCiYQ;s$-$j73(D7vcQnj9~V)04QEVQgFq9!x5^$X4P^Z+Xp z5f|5IZC^L{pjMB|vvY|CPNKe<*24Gz&!XvA^;Xy8ixZq2NLQ<>2kwz!Z+rQJLN+(P zN}m|f&igt|XN2hRn~xUAh}&qpCV1Ly)_P7kR|tgP&!xx@Sh_Gm=^p~?U{ooa8*gvj zQjZR`GPJd)G!SXv0#gsdBW8jM!NCgx;rrjf4WT2az0(uwVmqXvTsV4$S(pM@m~;<% z(v4@U!r`3x`6ur66nVsTcRK-yEhRzIM66^xMkDU_{AU! zGf?&KbD^ZAJe4L`>Xa#&62mM?gFl(4)l=-7NtOUsdQZ=MnTOv~5^l7wczNO7;oN!n z=13c_KQ}v;nwn2fm_CN7BnK5~2Jv>vmoV`Z2o_41{DN1f(c`LrE?yD_Mv^pa3s1N0 zIsS6I(NQu6@i4HYjY>JDc04iL-bwlq5jxz5Z{ml;efC`R_*PwZ`}{ndWuN_J>8;`> zDsRGcm|I@g3@(x;aG|n5n7%j8FkM2@=L(Sv#~p@LN5@B=Hd`7l7kp$6x@}yCXS*O> zxour;r`_`yKa7ILiu{GIcn1n)KJh3gsZ!MnEY)Q(AGHLeqF@?^3Lk!?+vyT66(N&} z&G+HQU2NWN`#i``nRLA8c`N;++D#%z29!~xYhyRX@hb6r*;cg_Q3#rCCQ5JPjfXU; z6(WpEsC{$nEKIYDLz$$Ud*l_QZ=K9^ZB)G!scIP8LC)CnQjtP3&EWT~_0!KItehYiM@4DaIv+txZI8;O#446 z%qe85uI`z+uN)qJiyWKKXL50iOu0C#J5b1?NYNOBJM45{&(Hd#9>o3zv(g<34Xjd` z^8aJ+Eu-UDl5JrzGcz+YS;Gcz+YSr(JU47QkT(bx7N&z*DT&dhi3 z`|;l7T3YH>sj4!wx+5cZ?1A%&`Fw%pEu0i?dB$feL082v_R z<{s3f=_atgFY4$>cR34u@9tyH6+qRi)9Wt(EKH&aUtWd8npQ$kXiV+`>S)pAYqacp zLXCLfSp8rLW`zj6eZPpqqy#eVBe_>}#eR-~t8&O|s75CPUz#Zdh+o*C?PRuLj0Vyp z?)g`Oj5jl}L$iH^2?}&nkaqpby6D!8*BQg)=cs(Th`Y2SLAEWb-DNI=f)76)LU;I& zg!RRnkk&pq1<+bVm5%0?P+8BfUpI)#Euv zdfCs>Gowq+0faZPJQr2HIaJ&NZSXDWW7E;sB#M;7P(xDGX|{&DRHbsAWal^O{BT9`NFKEL*e*qW7W{a7 zUQtA#;5}N~;+jjfK~FedW^$(eRn|<2eVA9;G50#?X|@UGj?V$6yl0=GkMPB87Mm&L zGmxV8;>UV{hgA$eMMzf@5V;@?!tdfxtPeR|GL?`*?Zs6m%ZN-lBV9YbHS%+5Zk@-; zSISk6MW{dhtm#a<++(g&pu=!R8uGfKx{{e1K}Iz!7yVlQ(6uJcL+XaDDs~Mb%YdRl zk?F5cb)#Qe8NTZ*E+R^zD;+Jg^%ITBm=+wT=l+P9C*2k0*v?g=_V63#~%WB@xI!(me) zpZC&V$G-HC_*aNg$QZN~N`Yn^9y~hhsa=-K!ccQA7%ki*@Z znw&w#5D^_#U0jWf@sDnl-o~*bw(jC1cnqZ^Xi>>jm;tc~zKMO-?)LqCf`(_3 zk_M$rc3h7gZuVo;a5V_TMo0S@hS#Emmz7;nb19eR2r{lv5z!I8_#}*jB{UP+KJHo* zi(#0;NI4xm^{gt&`o@=Dop5c+rgL^A-*#2m(+5WDUfv?!em#FI3Aruze=IFk8@ksg zd19y{HGsZ$#4jph=uU;bw*TVYwBIruUn9=Nv27C;VF~|@c&5a%o-^&H3@7ZWJ&p9% zGhc10UQIhcu`JLFSe?tb_iO#;fua$#Kr;G;^AH7kg0;okIAK7bks_Bv-|oV)O4>r2 zDB-be*Q2A-qm@i}9*u5c%rTHVzeMzy^7G3uZyiPRL!b&rIts#@&UkMshIdQ}E&$={!S zoANj|*-zMid+Pa8+D5*VTqa}}&fS6eBuyW+`DE1zb;g-+VoTND(cv5w#8t_@Km~`R zEffFk{pVyWYZWoH;TQ8$Q`)`44w`6bSmE#~r5+_|!OP~gjQ2mGi>7P(KFRWhl?KYTAPy>kT@f%ry z*jI+v!F1};9k{kuC&y)QN<%{?0qywZHC7T*`CK81$JI6?wun&*eQ5SIZ~c*%YuUiS z)iWuX6pFU)3T^$(eiHS`3L>_rg=V_pDZi3GN`16_N+&rv`Srd`0xzA%$}8W>tHNs0 zz5V0$x_W$LtIv0GAKtLv)6tz!@!g+}yQXRpYB_0eKV*V=m47ju?w_wFY^rnR9`*6= zU4CD>x^8(1#%I}_v2{k%(5b2M3Z#Svt&F9BeS`vS1ykc=dR9|ollioS%A_hbfskxJ zAYTg7zk0-M$Xc9J9dn!Qrpaf>;(*OX8|Af^fJ?%-dol-Ee`I}V@U7x=o^?2~Lq`V!>@CyzfL51MZpV0@}GadK;>htMuL6 z@>g0kXhBMx-S@a=`g*^yCGJ~d;?AvANuNO(^)U;U#bP~9dcp;&!|%PMiaQbV4rbm` zJ-9$P`=|%V5M)hz;5_8hV5YEN`Eyulxt!Q|IX6c zma{vXN5)asgYHf>b2zsJ9LLzwzBX zf^tb@p5(hLt7ejl@E<(m2heQt;QNbe4mX~&3|;Dkt6MQ?@DJimx)9vuUeFK%9=22i zEBAgY4dz|V>moV{m?&aZ@i^;zV^gZ(av*$if9~Nt%r8rlI}={jPI6zDxJ%c?%f3b6 z5mSyn?BXfWhhKTd)uuBk(qw?f1<`mwE1S~mB{)Ykp-C6QoS5=2IKhdBeDL@hiPtNs z*~@x~HzY&%soG7hysBg9@HUM+g#vvfF|ovKRdjOCn`{<Pu%6RJKd?{ zBOBk|d$$C3H8C`gc{rV3H#a$akQ1}I>hz32+1$bN+EbtOKp&eI(I^e8mE?;Wp7UJ& z=w#t*h~_;=wbjKOzOkch9%H-yg35ED6QTaJ^bWD;ijIooWDig2v*Ic!!2r{Vh3sah zx6kKI&tpF!H8!PZp-eIT+jc?zKFAYm*rN`p{dn{MH!(QZRPjd> z-c%{~elcY1!y$|J)JF$R*_(MMl>$nL5TQFarY~T8wjN4IV^Ny$NWE3~Ih;!6@ z5Q9rFZ($(VTH{VXuw$as3H*TB zC9_(y$iOA01BK)hF1fy9Mvz$A6YY`IsHFmiF6FY|SKUU~*dxQj4z(=Hf({(ASMwjY z2HSRU3P-fiNeCfELx)1w)^7txEY&&0iP*)v*d1%C*g^|U0%Pk=$h4_~(=re-KE^Py z(25e+G+3Wo!^io_vF7$pTQ#4e`rb6@DPhSJwABTPb-RdLCVc z&n$MP9!kFNC*#1o#)evBBOO%?uxXY*aHoXR3|t_|2RuSR_v0vNUz5 zeJpNXY8V?pIc~jHHDVqQrO-yNN8ENPK`e_TWBtgxz-YD#k`z`Nzg(Aq3~RNaWaxM& zB!6vIWZ5*8x}(suGFUJ(kT?}T-okSA>|otF_10z)qN|y9Bkp60aKx5jda#}lvu_Al zyf;F(DXafTmMaL;oo3e|G@J9 zK~UlU9NU`npG&4?<@$+O%Eik5H^fpkNDWm9jOQ1p>=GeC60ukv#%LoU;LC@^5J-ndec(izv+iSyF|-*I<@sgqa*JbH@?h zfr6Pbf|ZS>)S;uc>rV$DQTYk)+{=vSQgzG2^A>4WDB1a59o$B8W1@Qt<8Q}vyffJz z+g7FY71uAlp`Ar_V%dY$flS+_@+Ku^<})2?jvS))q$et79X2=B<{-vmLaZNSr7SWj zT0x&szJ?Q943c`>#j>Pc8)VUN@a#yzjyCwV)18IVGlMxamF#@R-$JR$t&g_dvcS zi!7+Et4s`#41kLbb(EVNPfn96FXpzG)H41cVO0eFrm~UgOMsrb+svAa?%mAOjUb<} zU9sCj`%&#TEbk6}-Rxq<*~LTL8+|y;{{AWW`Ym%X>{#)HSPNNp4D9qsn<3Zyi6xuQ zoCw$wkvn>}dO^v{vwgIb3OPKBX_TnP37!Xnb z5bSc{g~_BXYZB}k<*%&X*$wtla?sI}0YVCzfqOweLJH0McdzIe+KO!t>92wKbfV{8 z9Zh@6^XbViI$dl25>n`S^Tr(~2wp}TH_6&K>DQ0~4m!*@^3IZ-M$m$RgPI1;QxJJ8 z#Q4|Yo z$aNc4#;L|fETh{U)%#z(PkGiY-ejKLYYdlVpE{S6RkbOwD>o*T(TCONkC{oS`r61= zN|)ehcywIa@3o8-jB(}E#UJa^ep2V?dctc_wOnoNwtg9SHg7-Shz6b1;yhTwEVc+T zQ`18&bg5NTY+{xlua4cXHQ*AJa4JRuDHMv@ICR2vtGf_oCDEE>G3*6vcu z>2{GZhA50?sW~wxZ$R`LM9oF>F~1Q*k75PN%8D{@7}GWxQX=fMjN!Z=!fXkwR!v|} z07|9)Ft_-UoQ|DYJ7a8Ne&eZw-*q98slFb2}%^+U0&XQNGPMTTnQL90w23gDHx%A}c;LTwBadMNh|YG&-JUIf8{ zRp&5gOhaWc<>PDRvac9m88IXIPouFa`0!2)dD10tRrF)O^@+BJ(KmvXIfoP2BxdI& zw%Delo?G)vOZ?pBEA!H~|FIp~Qw%Fc)vk~F=aDV3Bd^a3vmkHV1M+>m0} zfc#1&NHfB%1rER}E!2t9)ro|`FeY3yL|okVw(VE69YnV6J$OV)_CO=7!&CnX%)e*& zc4()iclI8aag(EK>)<|W@q)fOH-?*Q`03nV0M~$Rh8syUWyoZ15ERb9YeK2kS=cnV z3U1(21}q|so-rVzKybW+*^-NcYz;`T3Kx0{dJ!=MBG*GRIe>tXD?1+?zZxmS>-VG+ zEjH0d!O&-9wnM8I3$sk?wd;eIbhjIV7uOocc%>?)MFMLYHIW3ONp@ud%u|QWQ$y{y zgrs;ligazr6;wky!v%zm7XTe$3{u2|Je%qVuj3P`6VjOB&z=$KSTz7_+aqKq70~lp#o3kxW-)hObMAa0r52n%1pr) zBjynM4!rxUs7|R-rWs-A8BrvYJ=zn4j&l7Lr-Pbmo5ln^-~@eQUE%Wg1d~@eW3I^x zgYpi^$ro<#D0*kKND4C>60zfG0hCftNz5avwZdxW_~#jAPbmTH^0FC0Y|7BM9Fi%a zy$mJQUMt#y5lf_*9_{d{G;#OVSG?sd>Ykk+*}WJcc%&|_&{9<%Jf1J9l`B-&7cUhC z-?fHmi>5#I3HOWmt*z=lJ$8I>b**BswI%M|LavJHi-@bE|I|MtER3qvaB^@aunE6$ zukbNN55W|@orZTcIqe2RsgoJ2(G|so~t3=ccUgp}GwvJjulI5=#8gJ&cygo@UCesHUl-nr{@}x^U zoQ8Get>WlH7HZ!W+J=-jJHdTa+wi=VwXjLEC|ySGuG9Y_q^PIzA3)J zID%u=g0iyGxI9vdhn?AGNZjhhzPbC1IL|L`uIQ!3{GHdN+iB}vme=XY>QxPjC~fkB z08NlkDIe%JB+Y1k@lV;hXt1@5VyL?P~9J8L|hrVXN^@-Yh`=6_djgi7%QLgm|sG+HzzQnx>|^ovwD23rwQ$ z8H+cNSG`gcqh#*q+FhRohMiN#YuWp{f zeN{{cPBdb2va-|>%m~hJiMGBC=dHL`_NT0PRYUoS@d#ErjlD+t6uXdAbrTjYR9SEg z?b27o)ug<1mbW$J^BE|15t;aDF^UPM0!=oPR?f;G3jY& z@NW^12|O|)Ay&$1Y08!*b4&+&-qybBN~z87()uj4lX^1qe0}1TZP0sp#vxUXij8Rd z$$td4WKp?5NzG>nprsXVFJ#Pq{I;m=7`zlBd34&K-c?Pd!a+N%tSnNhqJy$52Tn;k ziGlR1gSX}6W!&eYu{d7u&w90Yb(`p)GcfIy!`Jl@TbDm88VRhY`sTA%Asa{K8;IL# zd+vjtdaU#6Yq$5?+T#E!z>Kp5caEkP$*_C~8>>5>9VcvIlxn73Ps&Q#U$T^um5iDi zLJ&W8NiB>5Yv-0$pfuYrcBiS!ug$B#GS6+`a0qJjj3C&bF~U7b8Sj7Vd#5?{JT%nv z>H*=dwyP(rGFWo~dHUts=D~;_flz9kzHA5kxdb^W%mLWK7rLjVS&VCh{8Pc!PH5Sic>{#?NoCg zD(OeuP8pFIi*1LaOE*M#A#E9Oc5XjfzwKf-!e6BJ+X2KNGs@e0=%b>*$^=*m*^w>ygdzm{vWC zah1(VAH@5363{YB`-4oR$A?UtB8YW?(53Pu>S=Mk^&nQk{p1)MuUK!di+xK|hu-%> z6eAcT#+##?>SXCO9qMK0lggjnBMY2z>A*JL5Adt*cvEg>Ql0~FxTvJC9^3(1L)LX? z&koq(UAxm{uKrJy;!84m$RV*2dY`Wrpf^a`N>Z937-8Be4=tGhY}Jmp50{LgrfAe# z6rJZCD*JokzNR4?#1ZmCZ;AZzVcvn9g1u0_lHi^N-w5K!>P@|WJyU}xrL8zPDSWuzD6-56|&vBn7gLhwjS$+ zp|m+AHQ^Z@;kE`ucV$QF@mK$Z(UUjCggI~-{;BR?2DepHdyYpYX)ZdkYBd7f=?6QN zWT`7+8$Q1?NOM){c58jzB;XEm-~#dj$0Y;pm&6iVM+U`zPM$!@W#Hw5vn+YerY(oN zWIi|16^;2tx(rE8iE`gH1b&ubU1(3%KZU7GWJbwfW4@l-2lD29(A)KZCz=J!3#`3* zyO?xu+`R|;;3~h4Ft|RBvO!&qv3HGSZ~ zsCN174B>BS%~ueSi{+UqTLhM@(4h6_N1#<^GT$S48Bue4aNJ8B5vY=$!1u3_llVE& zY;o_R$r*kiDB_OmHj1d2^J_QfR#)cUcSV1n(zIoLyxo38JTZ=;C!2{fRvK(4-U@@2 zqxOt^JCD=g9k%`TY)hM2_3C|orI2O+vI5DjQpGXSH=F{a3~cyENE2iAO)qwI7{gs4 zM>3@SQ)Ekn_eG>Aaz+FU(>p2NOFKQpf6MHuuE;}NCfveOH0UF$P~DD(F}$z4T|&w!BDtm5e-OJg zMELR3l5bKaJ9yz>4W;dy14}yn_ z93Q(_`R3XTKl^oL_(K-pog{6X(A^)VSRM)hYD9w8_dWj^==4)1vEM-__P-{yvivEb zm5T|$X9ehBFtc!R1Nf}$f5B({8z$>_sOKMmPQrH9CjSkQmGiHNtn5E!%l}D&KRXkk z658(>=RXxZ_#w`r&BDY2VCDYM#{lH;v$FyQBWht|V`}oNgol`kg|nd%pq{`FwHF0P zfMSZN+i!0yY@GrB_~VF*g|oFOm8_wMsUs0HHKUq^lf`dm{dD`E>I;Z4eHR~LVP$9G z2C!=xIN3S4zE`wk;9_Rx{7w=6MgQclkN;~5D}ZOlMD$&J2B5g{KdXzv`6H(vP!r($ z6aFddpX;aO{{L1NMM*-K@qa)T<;N%i)d&Av7KQ7lMD`!E&&LmF6=gS!;rDi< zSuu$<{l@UxJ~a?A?AC^{_+W}qQc%Ds!jeniLZaYkVxj?Mi7X2?&f@Z7nG99Nl?V&o z$1|WeOvi^@4H%12nV5ipq?~!t>>1(N9rvBv+1c7g=UwI%P!45bS>rqtEKuY^&PVBp zK@4;>qXK9kP#7W*WFQugN(TB@#E_eDLozj5tI&_(S$dE$7M&!rV?9jgFQN2{NAN&` z*j$iQ35|{!uN&7mk%E?mVudl`D=}0t+5dvF;eNvtP;m;&OQJrvvo>HKdA8&yR zoYH-j!Bwfdh3u7$0|x>JQ(V zn`Y>NBC5f9F88(5#~*;0F1ql$?kBzPi#(_~v78ONx^8Z6_$>=phgGIHzhxtI3!Zg+ z9Clt!zcg?dV@a(Y^GQ9XsIDsGIIh*ol&u;F5WwHo$G^Ywa5twOPw*_nh#u)LmaSCX z`m#VX2jS9)p=|Y{jQZYmNuEt$v8Bbh8n}81vWDRj=&~6WXm18csR4e&cm0|^F%FXD zoPA?!{c-gp^tU_ZE&kbjwaT>cc#}N?$NVnacOS1cK|pdqC^ms<4G=SYUm=0KM*`^+ zVhe#BCBonJ0jWV75#pW#;wyoq&%t;0C8!~=2I)6=g$3H)`_3LI!auqf`dq@E;4O5O zueJe-tbecp1TT0s5fq6KTnMbD5JC*?F8EgwBPx`zV5MBT5+E91l^6^bVfrbU63FA= zpj`YZTY$Kn;vtwbLK~Pj_-i4=oU@!{CXiV}0&B?j-mV&~C|~7GJa^~{KdViO7F0b* z#NPaK{(GjkApD5>{*+t6=X4-QIDHa$$iyV#WRg5eAqm8u1?WfO5V49T0*-Lp;W|PT zu@WP&2_cS(aOinkZ&1?0$EI-_(7%WUPwO5UUbA_EX9}B7ErLPxCT)sAkxD{`L(vHH z<%Tm!E=k>o*az81GQD<}CPVou3d|gkB1%W3hLj%KIZ!zOIOu??4 z(wG{Vg3r-zeVZa>*v4XTgBXDmhuEwN7Z2{_p>IA|n>4Rzb(vdZM zdva&6ca(Rw$aagvHaCnMJNZJ9t zJ*nN+mG9)+#OuwZv!*ed)h}H=N<`>v(6=zQ?X0W$t5&NLYt^1ed;sn`uXSl*`OyU}ptF#%HTMq@_obMwZcyC=U=&#o{ zy%z2o^<(rCH&Qm}ktGu7j*;+Dy${Zg81D!#x^a4-B%$8Ga-p2t5o|TE?p=c_hSD5}3K^B8t0TL78W{zA1~up2%yCXnPKO<4PTv{v8qpZt z4)R9bgwGGZLwk@#q0pq@CI}uNA95LfJ>azMv=hA3EoU#SK>qo4iWHo*wH$AjsHC*i zN{q9JC1s+Fn~Zs!NL(^`wp?zpNikgU+xX+uGI_ovh+^_$8`<{GDVJQ=Eq5Nt&Af`Fiu&c%&)c8*mgSv{oW42Q?zJ7@ILYrbUxi(|9`KDv zkDq5NC>g*kAAk`n|BvYBJM*mch zqDe=~uOdt}%DAk2=})K2L6Z*n2zIISkS33CjuX=4p@W7&jzO7rU+QU!YQA6gRt>Ps zKNj*(`D*Z$v{96=;<<^W)W~bFe(ZV-k@kJXST#=$v6VENL?fO};jMF{w~NRPd1i8z z45uclT79XRcy``~ChR;zDWB2imVh#5#OYAtP-)vpTWs6GjmJ$e&Jz4e5Nu>tq!rE? zJ2~4^5w=YdXSTJ2wS`@(7Dm0b1nr#Gif7%2*2;PtPmk?O-7j;uW48h>_b%6NZ@Wp} z57x@HPwr*9sZ&&~ellGsJZr0^c{_hQe`tAg9Lf%C)l}iJR(bfGH(YIAa}ccOafWFn zW)-~f+)`z|CaQ10(R4F#vvRY@DQdGc|MArBxVxR%W@ii7I>&4C;q_qpBs>Iy?S|}{ z^(rrS!Z&%K0$}aul$KPo)R@%Av^Qz<>B8yN8F(3?8F!f`nKM~}SykEi+2PsWax8L| zawT$`@+k6>@*(nF^Y;tX3Wf{03QLP{io%PYifxNGOO#3mN;ykQ%kavg%R$Or%8x2^ zDyAw$D_g4Qt8%L`s>5r5YFuiLYYl1_>SXHr>bdHx8psGtft>9Ozm+-u&u)2H9}sb8aiZa{Hh za!_V)WJr9de^_X^dxUSKW0YsKb&PAQd7NXsX@Y&C@dNvZ#z~IJrjMK-Tc)_D+NOD@ zyJiGtdS^vvhvuZ_#^>ecrx#QgmKJpuHPdXqxC_Q93>^KrXnmX1#-aD~BdH5Xk z1^G+HDb;Dinc&&PxyJe4h2zD`WyBTsRq-|3b>EG`&HAm)?ZaKzJ@$RcSFW$a-_*Yy zJh(kVKBhcTKeau}Jg>gkyu1M5fxCdKhd=RBxqsUv0=!fak?#fYOo#wm{O|j^-!{

gq+uz+7U`wQOy{d;|lg#f1%j0PBT?6*6*& zv=6kQLug`C)c_Huh}JocQ$BMxzwhR}f_^svNUr%DeIRbEn6xw%#3v?NF8yY}mOIHx zgPqN|{ZM{yMKA7IZ2^|vL%~_Uv#7U#cFHwOMPBlH6=keKeAgqqJ za&eoE(PO~SgUdsME^nNn$yhe&A-|&BL@^5pccFFV9Cv}bU#D;-W~0`w#}t_yn=ojC z^wnm2j)ybLmYem$`yhW+rFZ>mBjY4n?NpVUI+aIhU&hu!n>AF=7VP4Acs$qliq?r*4w-re)kTz%S6*rljy3X5v9k6GTQqt@hr_bk>VciFz%7i zlrKYOj%n95aaWPU638$Q4AQlNL<3_f-kkc)k_;XlO2kt2$B`&0xh!Co=!Pubiwwl} zLvb$6lUIaIKn&q+EAbLcM)g|I`(ZqfQ8rbL6!%yjmy%KH2L0A%vyQ3JIFHj#3N!Q2s9X?VcaGl$I%2lcxxl*0czSt z*jJu9ImXW#fhen#lhltAMtqLkxo51crfkc(DS3%>D` zc;lJ8R*`hggK@)68VX4#OA6%Uq7S$jX?r*rjO=x{u5@fiO|EiTQ#tKC<0^F#HjHd_ z5!A&rnlIVBx#Zd|JnExuGUroaYjwtnba(F?G#)Vwfa0?Cvi{60{S2Rcmz88@=i~%H zF+_kmmJA#K0Ed}_nUw*cE5pUk#=rrnQ_1*?a_tXW^}8Sk=l_r(2cROUF`ziAHlu>5 zIO7i^Ags&ys|5fUB`F&wq93;HAIaG|!2D3dHFtucXXRjEVq#D< zs0}oPm_j8GJINjgykMfDz5RAvBf1#F^GiF7v`vwNwOB_gslEQWS?#KB*Ta0Vdtw@A zEw&z)`fFRnTH-DNAWD zXS8ZH8cCH(wOEfYpTVrHb7sB$=e4clMKyZr>sw1v6+Uxg^zaye;pe0CNt0+^lF5tLfZ63RUl z25u0zS_En}Xze$5{QPJL2ecthEvObPv zZ5B=9zOAN;1cxoBmhn4!EZ4|ycxu*)QgVBAsQ3Js^eTn4;L0p+1c&$NC4vG`9p&!h z5Q{Rm_3Dfg$-rjItwT{OjNEt+b!5!yQdw7y~mr6?tflrp-UMQISB88vV#?=;`6YeeRHcX`H_Pb)l= zSMzllmgi+kgwzcGHn$S<%BXRrR({JW%SMA24~vz9HyFo(RbNfZBH;2pp_GU-MpbPy zYpB?Z&$AHAn{qC&D-gps$XKzJRFogTkS$U%jZ;y|_h%-J@GA*&>$StR4pyY};Nz>a zCa)T__!(s|-S(F?VKeAOu3)t#Kel@f+Z!4>QTs%UKj6Dw3N9H1$YVc8n~mgU)ZWG- zRD3d%tnplMtQ_sBptg^PM2nAuE6?Ut*7@8XO$c54*jdVbOy2!AcK~K%_pGEQXXvd&U#yF5)>FX3f4lu2TwbfUqLGfM~Z|8D|*I5oVj}Dh`_Y@NSmyssRgWA@-bZ4Bd z-layyM)J3Ywb5!F!$0{!jLoqCLly(cgqVOZ38Eq-P<9OKLp# zHXQ7~qpL7r_At8~=;x8kx}h~-uI<~6{cIX8HhB5`LHZJ`)^BjmyYHh&i60T00lPZmM5M+^Y?y>7JWB~|AK$a_1)3?cm3l( zHt2x6iYNm@SlwnpS{wcuruZJ%IrTzgk@jcf5r_noRrvE7j<$GbVKZ5%In-~f}0q=hR zLt*9q7cdmSE&sq!J}-7#;tC-_oYRAeZ;F5MOQVISAcA6mQzDMIf}mE>$(5@n+J5Cv zoH(vRks~ZtmLTLCNF<322T8(ZS_NgDN`i&p9~PNOb$FI}>U!_mG{V~(y==IzP5i80 z3X`x+VZEq;+i$Z}vJ%QN#Hq@aj=Q09IYt+zU8e+U^HzCuor|@r)Nif=gY!UsR0D7j zGV?~?yo4@I~ydNceu{S z84I*b`C{Ip<`P~9oJ`Zi7TUlStXh9t2LL`fpzwASwS?rOpG*p?5 z9Se<5jCA0KRdINsA}hZmoGaG?{z0sGD4M-QcokgZJZt|~3i-R*V>|Q2MPY_comGOM z^CTIV_(rl{4%b)MBGZtR{ii5VMI@s^6PMCeFpYW2?!7cC?TD0_N}rYQ)sH+tjml6b zVXbk&0(_-~qVF87H%btR4?B>8@Dg(ern-I0`0DNE-a=TaoQ$vHBxcPKQDA?vqtaL^ z*i8hx_B!fl=IlH%^wUj_fmhI0zW(yM=1SN?1;ldhXk?u&5`nm=XV@18`asOE33#s~ zMO$U5AR~Dacz_Jr5Y<(01#nMhP;#$ZLsr~7K|?3Y4N5+r7)e7^b_GcMheqz~Mq-NT z&PEPwaG3OjE0Bjyg+7YIj*#>EPK!qn<2(~8a2^krK5P!}m?Pd=3R6^t{2;emZybC> zS^l68JjB&G?-=Xurqc@Sz1$^Ec>|3XTO4;6oidNtlEt)EaLk&DlSsfM{2X)!p zo?xO1Hy2}~>bIbNm5MQqZw(TKkg`P}^YTsNd*#lb-9-`=snup}6A?UKx2w#Sj7F}g z4X)-Dui#NNFB4TSKpvxWnk&*4T}L>GR4$^hUk){>a3iF9Su!hlN8^w`m$|d3DDqXl z-jEf3lF@Lgh0K{H?vLHW}j_*(k+t1Jn8#e&h|17w|&cMaZ4bZ#)zS8{{aOKCinAo}hz>WSXa0O7-@TVyg z`7Z1Nc(24L0eJP#;VS^f+#gr?cLA1Py7A8=EP%3;f86wc6=M0%TJfK?;y-J}|BY+K zKa0HlL2diZX%rPv`2~hy{MUdM4rVU)-+jg(K+7+7?4Lqfe)1q+mR?SQ5Pm2-1DOB; z8t}^}pg|yz-y1046(|@epn-vdeQ)5uH%KTbC`fQ<7(j!D`=ufN`WqN<8sHR2aBxU? zSZG){ICwz&ehd)-;m5xqe*cAlfPjhshx}&^YdbFrWbegZ^|B3K9Ys1RN9$8U_{# z2nZAe3>Xq7_}4Q5rvQP0LqH-EL!qFeF^ecd!(foGunIX2oTFo6VdIdJkyB7oQPTia z!8p0Nd4xs9#3dx9q?MFaRMpfqG_?$kj7?0<%->j8IygDIxVpJ}c>4PJ2LuKMhlGa3 z#>FQjCMBn&rsd}47Zes1mz0*(*3~yOHZ`}jw)OV)4-O5FjE+su%+Ad(EG{jtY;EuC z?(H8O9vxp?UR~eZ-raxwh71G*7#0L1WZ-Y31@svi44jx50uqHp(E*i3$TzmO4+XJ9N4CNKl29%LU}>otJ^q@FXmxtSlsq5T`>a=Vy<}e0HqRQ?;Sk*l}dy7P!=+OQ98{`M{CEQjL^v z!YQHJyE@woad(tpuoVKcV^i70vqTD2GiCD%WJkjchzhm~c`}IXsb)n4JxsX!?vTl1 zUl*rD65I4-j^s)a z6*s$rPC1tj2~qy2Hf2qJglMmb_%i*M<42d34^=D@=$9Hi%m%4O5I&}GDMJ%21R=|q z+e1?nK?W3M0LmBwDu%*n5QJRgt2QoOZ_85%m{F|zB08}oc1`>_+wExJrZv*5kVOn4 zmTkp8(-4_$;z*5co46*GzQ7A;&?OX3TKiLhU7&IiHWc+7Iu!ew=sc?LoWc!u7A3Vb zhzNxe*a3A|4zw)Z(^le2F!fwiJ=si!XgZ6+!XUfkXl!ik5KAdl(oX4$Dr!Ka7JDf! z#Y99(AC;LQYe2*#DJhktX`1ciBtz6B0>em43s-?CerD!~N~{=JUz#w5un(}b0<;f^ z4>-)wo@7oK6be;5R1OIhR4}BJkrgW2EGt{YikaCgE7!z?C+q^OD;b{(B*cY-ofz?H zVwm^w3Pay|%*`0_%gkR)BHVf$k9f?ZsbI`%32&EZ3$=8_>A7;N5|-i-xgx4xF!P{r zyRxjKRvCqHi0_yY1(7l$TkKcuYUg|1cs$a*jnYZExO9MXV@Fj7)3NozRrYjQO<}&Nv6s{jW(YS;J5{ zkVQpjIgkZa{nxb`wC5x}-yqPNUC$7-frhv<$K!gfRYyk#qH*fg+QEkPp2Et~T(QsY3S(rby2 z2FOv#Mp;l`lMIRBYJw8uvc(-C#*Y$;ISo>=n{Fi!h^?Js2PA-RsEZe{_+)~_l8uW3 z39+ETza~n{F!E`NyBO(Tzeb*e`iRXm!)hu1+KLvcJS45pS_Ci7gWWs(IwX@CnMiy_ z2*rrZ+Sp2VGBq8?+7PRaGLM84R8`YT2bv2O5^)L%W z=Te9;hrQ#ba4+Xsf(xbGJ}M_F(%1Q!&3R^gV^lT98AgSa6ftZh?tH7~5k@7{gNny+ zVyv$~0=Yws&`#(A`Ij@`1epQLJ{+6b&S@)$7aAR#RH^PXi8+eN${{43z4X?ta~au8 zs<1Da*;2kCa$Rh{Xz0>C0IgJMbJK(@S!)abLdWI5Kz><3h9hin2uM*Z>Pp)I@YI#v>~APQDwY1+iV zHMUF?Nk-sC_QQ}9CAgz3CRiia3^=J+S@FnGNQgIahQ2Vbip(hpQf9WxdWJ-?yMzhi zuyPi~$r98xzzy=;e^UTX(Vo(%@fxIoQ>vEFb4Y0rwFO#VW{)KJsuTnZOQm#xlE>N> zh9KQIJyoE{g#ky%y~)}G)*!hO(Mk!4QVRRWCn|oYUTs(9=0;k{e?R(kODI_SWBjG zj^mt9F5DG&b<186Mu-m@Si^Qg9;pE2yMn}p`+|WeQ@B1w@ufG}Qz1d|q%#DYo{E;_ zY*|Ju6a)QchE%85vV(35^FKdi?vhqa;*m83p;}w|-f$75U4|N>!$TjSOpy?% zkdP2xX{7|PA?5%WC7+Z&aA2gH3|sco#=|m|h#4tdsPLm3MBDo=26NC<@{?II1<^FK z_mU@2`K&&z%w zM$9wN!G)O6@Xo*lnUoVC!FZI*AhGzs)-q>{GsXx~;>3uBGn`WTnbble2V2L2c?w}h z!QaGDC5VcOsu&Tcv}MYy(Y`j?{XiDQD*1tw3ritgj)Y*VTzifn<|5n~U()lK3LMyW zmid?@Tmt6$UI}R0PKrH1WVKAmjGFRZ0SIEDg|kts#p~`>f>z2IHL@DntG14z7V8*k zd%vL9OMYqLG^HV04gsYkVu-I{P}3}YL~}?*DKG5OFZUcs#9O(O2C*}JkyEas>7rig zqeWu!v=&J~BcpqyqTBaEOB7OL?A;4Ej&&FIN( z``r8c>YpkKiubL(*Ls#e`vnae8d^D$3K|L0qfBM-qGVt~nq;I!Ojkjbf0Z_{og{f7 zdeF3f){WdYY}_$J9febLfDeK#T48vE<%dJ|=6U(Q1OY#t5*WRgr#H~fpG2s^cHE89**%CRpt-9#GCVo`FPo)z>x{`RQZK}{%$JtjX zeO(!iHO6I?NX9OKSzS#TojglSK`j<~F$qAB#8bH?2+d6nHj4;?MdMugXS9;X0@;iXw z9U>ENv6bH(^5>P`1OP^KOoG}=E}3gEi4m_#B~mo9ac8H^YSR_%<5FK+^dg;>nbPIf0iZN(sI#WM=j~Pj%+ymu|^xU^O3iiF2pMU zCV>V~Cy55=h`pLNPagaV9G!KVoSec5=Zjc$JgciAN}wSO*o}rKooK6}{bGXQXNi|- zI|ljWameDddSJS93NEni>IVQU-xo-8Xte%Ow`9m?njNrlE^VPSve|UB?N~~1y%+dr zLT^% zpls>E8h!g+3FA*g<^!|CTE} z6NOUz0exW=yVH@le*|2RKZ6yW!El~fjCi;l#Bg-0D^>vt4nP#LFQ9G-c_P6tN=} zcF6Ob7*#@y6n?m_|%X^jHeJ0V0D-va4p=Rz>fZ z8(i10CMoT5xbf4ed7@e2W)XVZV6u=WCMSn|#l`t_^NxAp{59)fgHOPPEhjgTUu;Cc zm_&NMc>!i;2y>mNBQD@(@4_Z4G$26+rxv6Lb336ACH8@5L(+uAj9q^>O4P$o;v7vD zBZ{tsJQ(T7+xbA%7_V4w2r`fgO}!-R3tWm5EuN^+1?tCS9wNAO%0#s)@xt1CVmng> zq6W#2_#0n~!V99tvCGqiM~x$_sYP@NVZg@q+D71|q4VxS5FsTB(*aOer({$-iI{jL z`bKn$dDCP7Wy*cp1-d>yN2yy`eWj!`4LBNEJP^Prgm%!cO6F3iV(ob2r7JOpdY<0| zE3h+g@0dbNwuTS||5G3>^gP!$##97^u27z=8PI}SX>x{Djdm^;zklFBxa5Ef)5eQz z3BHu=p^90og|dkbO%BJ$W|uZiP+>lpqW4iQ&>%OH&xqiJkxZr#x5YsS%u9no5ry?9 zE9FLjbt_#&VfBV`_fX7np|v)a!77HIc4D2x5*N1-*Uf3)I8I`q#4eC7&#3qhDw?T{Ghc^{ z3lkcc-=5&cqk88Ln==93lUD07^%1_}=P#1EYND5g<%O^4n4pzl#mOV(e=5kgTAYvS z1w{2pbm<;Ewr5!?Fv-U^@=D+j^PfymD2{l$&|_yv=?WJ{O+!S$M8PIis9mP8(O$xe z$7?bPTT?^f79;2mE|tb@=c$dm!Nuw9D3s`IQ$d1Ha3^t7+|e+nie#X8En04>i0PPW z%=RuXBMVAVS?)QbQy&|HxRVet%#84dbfFl{EQdmO_cHz^wL$tkUqujvVHgGeo*K+V zO7+F3sw-8{dDs~89(iSxjx2Hc(vUV>1@$hl9jf~PEPepKepYsl!B$S9o2#4rcvZ&; z1Z9RPI9rSh9thVVoY1Qfm`*oQ29?F<0Y+HnPN>v-K5E+O9NvM0(DSQhF91& zd1pKkjlrm8+}uZJjY{fplcv!~R`jN6ZlrODs_;5xwh+f_~Mp|hGXqt}L zIf^tTN%AHOm`n|#;4uKSJZShMFfJswPOXjD;p3l=7TCQBXC8h1tqEhRy572k<1}2j;!@p9?AcrVsPYbt%OR5MLJ}4#0 z6qaY|eZ}=@^|MT+s+A^|xXQBDu;b=%(HH5Ht(&|dIMx$YZdL9~WEX9D>SYAy;7cOcI2Hc@V4SY?RJ-8!&FmIJSFAuCD zgu;@diT{cK3O*tVMZ(hYrzj<*MWAd$@yHr1d+B70!HKA#0V2~omR(@hj>J<#X3$!J zWogvT0{qZYsub5%Ly`36M7&iM9pjtn#li@b@I)o9Cq#^bWz^c%wdTRaP;S3%&rlKGm)) z1bRFKlLZW_ru@MobO_g%t-239(ZOVtb$b{C9h1{&8bBAhr!TGNixU`%cilo zDIRF#v=kUzgjEzYvo{LN?6%^gc_qzE^JiF_mtACpUC`u{9En^slHlt^#W1!E3`j|E zeSGw#N?VJO8YUQxcq3??Fo_O%g2m;@K@UJ!E0zKnby#;=ZbF~h_ETsxHy0Ex1 zIyU%@{oKayrbYj@JfzRd%We!OUNkF|G_FjOW|5j|G7Qo)`wHr=YNCs*eQl1je_ytL zG6}!@Pt@nN->s4VOMBJ-tVYiBv#R~~38KF`e(l|7#6YY=3W{`q^6cw{nt{vys=I88l;K`=#INw-XtEvb}&v6@EN({qoH8w_kwB zH~zIn__vu7(6NR;miF(v$^&FkFaiyVfo4*EBWd_S)Ij#jYkkmInTvy)vx(V{iM4;* z`0zKz640NhS%Uf$em3U)!S+dqtB*6F__Lx(s0dYh5?ZFOpgd}01X6Q&Lg7Judcus$ zJ4e668G11=zvb$LGum;C8gho9H}4_Y1Ae(lis(bU4l z1sV?ma)WN0haEItLk8*yVFrQ}iVZYq!_3D1N88Q%Pf85n1pKxA{<$7MwD_Ml{3ln= zAL*-fxM_lbJ&6ch=mXD?UN0UDUS2a+af{yIqt;*u@z~X4F&JM9QL)a!%coacQp)~$ zisX_P*k7vAM-)NML8b@_mO5GVHT?TJ3KX*JRwPyB@7FRE(!%U*0T0lu%Np>h7I7yq zh0ON;_}9F8c*d!zyxP+FNJ!O-gdz>Te%VF$t@*pD;=YZH2YJROKGvoW`Fn`Rt4%&k=gRs4ra2xN`?jaPA@Jtk0PiUp^=n@rhWQwwcG(54I zua%7iB}A0ibWLQ5eA%79KY8|a`DO0y&3v&rO1u9w%H1&FR%VxsxiIoD^VZ>hT#wB_ z&9{D*W_91wuUuYe?=iqafsiG!tjLFyEOj!E?H)&!ODr`De^8UxK$rhHD5*m!H@`!o zj|h9i(00t&HS9PL*Y4Ic*^;1e^P}lp{QBjoD%qWCUGWW-X(7E8mmnqQT8I1r_-A7X z2sJ_)`os{i_Dm;f;YJ&vqB$0x#h$7u!AF0c$yMV`J(0&o*y5Ml1ihNZu$=1T+4w2i z&?SheCRNZln4G&6@aXbvB$_4OfbYwJG!f{`>tyo6>8sHLT6=l|u+|A!M8G4<&7IMm z+f(Um+tUjd1ZW7znHlV?jjoc zw6KhO!)E7Bw+6rf<1Q{cV_UjU-pAo6#xJWK=B~{<*W#czd9o)IG7+phE-1oc+b1^m zFr?l~U#@AnSMbvShe7KG%}TVi_bl# zMWo2A|C=eij>P|h z?f!9Z|7E-XB9{NO4F3Y<{{!1CKhB2YPlhD9(_MPcLZ+;PSVcX&R#(S2x@*|>rhsB$ zBDE_O!v0F?5imL_Gbtk8N3GagHWX+!d~G_-Oob_jPNd~7g6U$UhiZr1bL&4>&y{rz z+R!&vICQ_aq3pkSQ$I}iZx-#pHQnsLxJ`fBbpO2ee_*=Ve{nN@?l(6p4>M@wl?}iN zV#ebJ4H*DH1{^f;`*V~F_=iyW(+B=LRI+n({WYlkxg3Aaa>ol;i-{lwKL~^{qj^zP zKk0}`+kq@M!!9`r-Urfx%|rheO9ip_firI}slAlY!8=ut|orK1EJB|`%#f-kk%76ta zk&1hK#IqtAzxKkrfI&f7aeN=bhK4n?3Y670h;K4Ee?r{fz({VWhck&y*Cm#D45vLw z(jGd25y5tFGjVfL4iLt9Lr;Ivl3~OUL>}DX<6bk$rXqzHpy4BrEVX8Me}}635S;7o zK-}|wXHRdYaH^mC+i9x2V-(RayhH6kq`9{X7u(?)oe`;G($YyaF^ePjD?a|3i`M~a ze9f=GVZekOEk6CO!2aSi{s{#7LFD&$s>8pIKtJ{Q@5G5eZ1>-Tgg+HM{JgjSUmy^I zKPw1<1V9L6m|4VOrzw(EEaw5MPc--t6q8Lt+VvKXW~lnnYmAYyn$Z?b?uErnNsQIt zh5E`iqro_m${blixJ#eY!aVx=kS9wOF`plZR>V&bhxm6l^cVjJgh0PRWF- z{yYNxxb}a7K)=|p-w+5yGQj=AIRmh>F@qY(d4Qmp0n|+mVh-W_V`mLSk@}0d0g$mf;dP-BAzXQMWSa*%u2Zk)Guo#$7_o}GgUO|(MzLbtapfZWVzqk^wJQ;m4Wl<5hYHgS|3XO;JiFj7$i@F39 zV)0zyBqMU5jv!D)7(;VoCPjw>9fqM@*-gD>869DakZrpo0uAnS1p&;I`_nnE*6BHiU>lsTBON*Jk>EDKpK(Br%I~)5i zj>n(CAiys+_pf6R;1^T;*S(;h_x2AA;^zKqQu(L7Ao`E&%F>7P9;h&?Lwb_t-X)Ue z2RP<92puIQ-YKEf<|V_Hwv-$tlA+!u2M0KzDZ(xJ#W~^a0p;H3V{xtmjy!L+pKY(A1*Uzm6 zvU4(n=t0Ohf1nSD>x2{J5V3)N1_Icb0j!*Vx?V*DzOTomXu+Y?>b9vUdRATiz$ z7+8W@CJk-lK$V*Qi=}ux<{@T0=05TZ(X+Xo9Wk`h#JlMll-ME5nQS)1s|>v_;Z(yh zn|&U8<@NmZs~f$BULP+5OILCs9e26?=;HdF=@`ArR9rm|c~f;S&_-mC4HPR)?9gHc zA>XGaI-Etme%}r6*E(v9I>9l>+5cfUf8mm51N>r@|6frY|Msmw zK=J!*(rkcVOvF!B(ZrFGc;s;2%v zCjYjGf1G91i|LLK6+x1G;FmDyO?ysn>NjS>v2mzxRTlAm!+NK~sEvF``N7vq)DbPy z2rE%>h)-PpiXe@iGQ)Fy5^XaIrbhdKP)FS*{^MH#q*^pOb+z}jRCkgvbQ6a`Ne8E< z#*EN2(^yYDfTrE#rV!zP*@u&xUXK@4f`8W8AGtoryn4PeshL+`o!g ze#uW!*_kNod_Qc$A(R$5h(*Q z+t4tPDI_URYjw(7wb#c<>WBsP42^qq(OJ68mX(#!Lgio2Eno6>QG1W-*Ia>;rK~l0 zznee;{$g(b%+mj2c7IbV0H{I*qQ>Xo;baEU;gfN2u`=^;f}T0JK#n=!510POt^B`S zdaTHLCAP2Z4VkhJZhI9S*BH8m=sp!%Y=Z{~*X z(U5Z6PWK&HPokJFlxaE)dA7->_Yz>@JQ9my0o&r00G6Ub7p$kkv3D_5_l8 zLLt1C-4Qc^>KrtDQa8R6r=oIA7egD6{4^Z>HA+E|AT# z(Opxh4-?x_vo&!vqI5@98a+=VVtAW9Rt^$MrL@_Hf8_8U#DYIXA)5cY^7)Gy|1*31 ze_C817pRa2I?$Gb4OBM)IUzu9cIF=^Hh|9jVCMLFF3G<~<$uZrvvK}kM`d8FoP7`* zT=1PBH10he3n912ibgD2`AfICgD2JD7;?EVHd08qNND&&`9amf=ZE|I?>HSo!=!ZZ zIjW{##!JdUqZ_Ud#GQeo=@O~V;_+AXaC_`jdy6Fu+FU{xbW-ojHCAv)MmrTr*ics% z6m?1M=k_<|9E1+9r|7sc*d``eSBXd<7_#cY;6|Jc`w@^Li$8zMLV5AXd&>EGc*PTe z6|A3+E&KPjjpG+%`kOW6{LwT3(k)JQP+=9c{-B_Q9aJg>6{J8q_Q#O?1ET&5l0li2 z{|#P75YY@9*>CXmBSQHvIz~wl9U~j?XXb=O((cFK{P*_LS4%F2svUV_h3*QXRuW4> zg*RX&G*-EHvc$sZ;mIE#eY|Q#xOGmr`Cj!EdmvKF%<{;RAfVxi`DEqI)3^IQ(r4?H zSI>|4cV=3ZZ``Akb8V)bLe7+4rL3%cAicl78>#fui9~#kj(#>~{?3)L_YU~fvT_qr z&cOR-vpdG7aCz;Jgw;0oWJ%~DgQHQichzP`lc_fK&9vWI>CJnGMeG9eWFG2CHol(f zVDxjd#Y)y11-9B1bRdmTdsGoRdkZylO(x0B)Qz39*sDjskf3LD;VCE!!xaw8yiw+wk~V~|bvleQ1_*kNHBIIx`0i6= z4r9{1h0#(4TMf0&UFklp1QX z*lpDT#doJ+tt7Np^@`2z{SD-D7n4Zk@Nj4I>(?|-oYT#rEMde%g7>~zT*nG&AaZM^ zE;W
KWnN>q}N{q8;O8M68w!Kd%{hf2Uq?B@=(Yf&|?Q<@Z}`N~M!Lb|q_!PG3& zjIa4G>EAadMhqQ8$jeLM+tROSUpQ|DOT#VQg?l!!$Pv^LDS3c>0)rx7)OhF5?TP3|dG}Fv<%}z}X7*7hEH&P$$w! zz!W_6Liu+LeplzmjbP^9cvokkG0!!yYRUNp(*%<)JJMTul8~tRumcekJM(HU6f9j~ z>if{wVfW_h^ywGN1uLfR@&kwXCsEj{gI=X@O$7GuU{54>KOcA?KlVb;yk|?NBC!x3 zNbIDAY3d`p%E+bJ+*^jGIV1s$k^~WU?S8Sj^%N;ck;rvR@0b=Nb@Ug9H>crJwQ{f} z!0(@Wod6x7%1+ou=QWaTfLQ13pj2S+*J|YU5(Ze943-i$cSmaHvhrm*z zK3(vmO^HvaTOyigcu9^Ff$5R*u z?;?gjhsEVG9qSk&raL}~L(vOJ*CHs!IarypypA2<^#=_|MN}bvv62R|&=vCe376cT zNei!{%b{wury=xd9$QTBQY(UM!X((2cT!;kvsCG&(9nwJt-tt;xHWXEeRUHZrd%}4 zD)2b?6uuG2qR-F0SL1fqt}4^EK$hWFV?3J(L-`RG6P`h%MGciYoqo$vUxZcPY?VQ( zM;upMS2MF!)<;Ie@jUbKnQ>ae79HAxAoImU8_VuJ28A^n!YEYN8gt-3F*? zb3pSk`{}ls;bXn!T24l$nlr~p_0B7yodA#R9y10uY)nI29anrtW~@&<$qyn4eFarU zp@B%}g^ubR?66NaRktYv@{3c!pBPlg zjK)0li~!J`tyD3Oh=h(VKA-^+7@&I*O!p<>vbh2qh{@j#IO6h4UtMcrCi9nfbu5N` z2ivHeE|?h?ORPRG?0Ga?5p6}WHXW{NF!zl;j0ft9q6sq(sHevi409KetnO*RC%&d` zEpvz`RUyo5Gt+5KRikn0oN`?VYi*_iWY~`&FnWdXRjIr5cam6S>d+(>oy9{mCtcQY zU4Vmws$2Qo+KaN65rV}Lnq%suna7H;&4cg?Av4WZ@5Q>s(5c*LZNr^R6u~88kR0?H zn!)+McT^(cM0@5jqdP09=H4X4VQCDSeI`$+su9j<2WvSXam++f;?1}6868fgpC7~9 z#oi}rFCY?+J=^DqJsK zZ&+tFaX*GK!3)r1*9RvU)h*t1wl$IrB?z0@MflxODA5&ryp(%OAe_BF4o=eW{odZy zesMDiQGs4#NB;v67506{Y6W?;rq;N=55k;B(jy(YaB#U0VXHit>E4Toa01zH4^w$L zuQLg#3FQ36B;(*~ERO{D9FIR%it(#fPQY7-8)ok=By0F4QmdoS5NR+0fmytY*eCqo zv?men)HyP1DHP;CLGf-B&!w+#oOKp*&p%S_42yE`?`trz!&pptM%3^!*Fe*wqx!H< zz;8C5)!k@zqr&8bK;=??eArMc6@SILhqjkmL=I*iPk%ykJ6+BR$ERypRUQv^A9JnZ zWL`@{i^}O3|NUTXZ4G@-YB|91m`AxLiet%_IQ=n-Vv85^HYjN1|VlEK)zY_q+2B#gcxGJ!b?DxFP+$Q)Q$?tIi(E&VuOf?DPghwI(nt zk#CIfOc0P;H5`y$CdkvqjqM0Maii|=bFWB)ya>&-+!#UpqNY4RbJSDl2kt<7bJzGGQ~@b#E%*v*3# z$4bBE6pntTqy@*VHSwOf2UrD)rY++qx5$p{`gJ!Xno!L(W3mTuK(_uFdlxYd$&h{g z0KV6z3%MG3PITaqW+;orAu+YPJr@4AHud#IoG_O#=X~pD$;SQAFy^TPIF0=6Y*=I9 zeg++zhdX{R2T%S$u?+lt{P-%A!;#qC>kbwSXPQ?%YL*u3mXAKCCzBApnbPt@=Jch5 znea2!<<>*sJv{g=dR|6^od(HRuWaYV?PQtTGT*Y1pTNyU@H`UgvML9Bp+o}1P2$_u z+GA_WXv_<23#~#PB%#|{b&d!o$12-}eC2KwbM1_Q@E*5sutJ-mZO3pO}D!xoqb*a#sti(+o-c*=4h0GWgs|7XhPo*!E_br=X;3g z6fi0Umwh6*s1(*g9H`V4x%>uy5gd{9ZcTZ7(4D67)=Qf4W;K265iUa!Vzna@mHH|6 z3;jy+DQCgghlrL3Lc=X^=|%3 zseuK`HXjs)ekA&+O6TR5_R@}>$&F34-JMxgQrvPU;Wpp`32#F=2^{{1N%e(`Ysru4 zdiE_>6fPe63Z`#Xh06&7i^_KSaRqGDV{Ufc@Qq;KGJMnbIN3h#2fpOEFPkvzOJoCg8`|L|^kFQw${*fAdaM6IMKsPa@`AuM{XuoQvYY2Kn zjZ$Vvo4T$=yPX%z`vi^2%>Eo4k4*8ykS6HTvAzC=cauJezH6m5XO9X#I_Fs@>cZ74 z{x-CmgvYcC=o%1``YzNj9F{v>g|u!zb)!qG{(z5y1$B zA-Fd8uPjPeKDLySi&zOR)8Xp3+MBFT$sXl6vc*U=8CDHqb_@9f&^(pAZ^=?QK@6(fqq@Ew(v0Tn>`d*<@T4?BnU$0b-!mkz|&plSUyItkxDG`l(SenPmi3 zDO6@{qNrLZTc=}<8k1cDw;Ql@eMPf)F?hnABRyM^(Y1?mF7IL#nizvlF( zgu_b06@IZ9{8Y-9bRKa;EwA;dgONo&wE%*#RA1nu7BJXu!u3D;sd243Yj?D9W?4x$ z-gBRkmKp+DngvrsZ&b}7p||5ah>!QQLV2V-oR#XAN_U)IHxlUS6E8)MQaz+CBZdq% zx3AV{mr|C+;Gxt&%Rxm@#T-Fay==*~wyKg=b@J`qw?-9Wsd}(JhN0p0qwIKts2YQ( z%kH3yc`eK(Q)Y`$25m|#!JIBbsePJgj$sK;%@<(`885XErSvurEQIznA$G0|oYECu zVV99S`RnL6f5-}KDR&r4^19X+jJu4=MPpuxG&C_?1a^pAZ@p*iO4OQDq-~ua6RBTy z^M2x0_5CKk0`NduV{g7cu#O}|*P4k6><->hYX;Nf!a#HQyiS5Xx>W%noFb1lzmGvEdPXS%kmp{O!!WQ zpba6tgerk7uj`XwvT+A3qMe$O3O2oM6tVw#9zDze?1G@HG`S<>>3Exu|APdJ>fKK#I3SU1sq}SNIqAOx= zwNkXB?9x9~t;4^MwSNeF9h@Z1YBN5j&htUwxScRk!NvHK`(%TpLWTN-0&_wlQ)o4L z5|2ZYk2n2@!h-sduM~WJqdIBsCj}%W*huW=7nmU|{9}%u(z4!e?y~~w zquwjSOD4kKrt1~D2q4Hmrw-d>+g|TOzMV9-jfjd(enGe2xeEJ2Yq9FA1C17|E(n!Y zeYX=#FlDKRBicBx9AQ6l3S)#-V^W4sR>09S%&Y&}L#l7uQ1JSYM zw`h}7J>2{f%ho57>GxpfADgwC3U|dQbOpo`eTRHagl*vi*GW9adF1$b;Z`8hHEqwm6jE^>Z01*5Ki8xqcy#IC81rxfZ;(5pskE2?Rtz85sl2=MMRglX z4jD`P!0UK*nOL<`@>+&PtPhoLnqO8_h&@@kCMPc;XSS4j+Av22?LjQ3dz5X?*K&*h z3(ED&3MQV2cFLt?%bb9mG(6QHx#HpcJb5XH|!aH^njs_8k@8-vx#)7rj#-{L9mI4Z^n)t>h~f zymX_SmzV&b)H=}aUCG3kI;GuGhTuL`H?q`K(SJvzvQ*+ZS@)*qRMDqFI z?6l(+VnXUK zG!Om#0k!LuS?xBUZO)-OmmuRd6TFVD^kTYFkMUby*0Q+h&PGT;IaSP3&0>P?7o=#O znn)=QO@m5tt5+;E>X-^40}!b1eZ89CCduJ2 zBHPM$^9x;y;gy;gF@P^Ga~4N7tF0wfgq~Tw;&Fw=rV*};`TUy7f!Jcv4RsP@29xz7 zpD8lo=17?7y{(#bKPTw*#qnPi)i z6Zr!Jebg9-;L(Xxu^Bi??(djIRYzWk1PKQ|Hf;n=MYYjt0pX!tMC(t8C{^EJJ_Uuwq_QN=X+q)fOm+rNw2qa4`M-}Sa zSvjg0cGM5b%|dhpGx#42A#+}T3%n|QzRLaJ)O7#(jqelk^Y^REnK#KJGqJIx&$qFj z->>+jo*zfvJ(co5U7h5<;hSF1^;h&+#}|ipl?>pT9atPumNqT3j7Vo|wjzKhmRsg( zoc|Wb+P-H!!BL7zMU>-SCdAPAa>L72{w?e9DyQDG4h^|xy$$E%N;3D$PDFuytg}?D zSH|5ORqKFNKZ;5-pK(vqRNc%(Xw0_=!}IP@>+&HR&kOqIWAPiTXpeVv-ykx!d-Ns` zxvr=xmYkymyOf7&$OFWgj|N~&JbG&<=y0uM8VN{>yIzvvlmx%NI~*2tjnUnna))-n zm*Z!8+lFd2zEE8bmT#Ob`3>0ES9lr!&Upm~eb|ZIoKCoj6hiI5QOQeX?L646L7VJB zv7fRAyUQb@d3+NPKT8%H3r|ur4pSZl5u{giAFcc8-Q*fU0biNGhEx+*=$k(1O8q0n z7KsMsF**wmJWFWBKr)~cJ3Z72rjS6@VY0`pM>EC?Qh>1F!dBsorM_oIrOL_3)^xSv z;!|2>1^)%R$QJ9n%P$BTZk8{&i_?N)kSzG5Z6F~Bqz#nyM%^`^X^A-bZa6R*z+V+e zciL$+T2LRU&w| zShY!7SN^?eyLuU#$u0&K@)dQfyhMtYl6O6_PeN3`c1dX;6*L>9l7W2K=fkenp*NR? zx?6x@9gDb$a_I^SasD@ctO2|g>RWx~J30%4mF5o+BhELcL`m9(TVdX>rZH?!J>M1v zq$W`}k3c-tj$9Ure2e^yg05mYs=nUgdoh?E_%QCQ#Bm~BuQ^%Eywr`i$z=td%0Yvx z83i|?(a8PndAF;DlB-^+nFJYi@vWuKjywxXOM`|`W|KT|rC`!{o39RSD@I6>OEp!D z>rP9Kgm8mbp-#X9U+>jw($U#Ui-+04#(|YYis)5=$QkY_9V~R`H1_9s-LE_0ET38e z3OL^HZ57a(z86q6NK)91UHZTt!|A+qdx9_ei4VGrUy7BNi)pqZK<^SQa1LFp6{4@) zg)^>V6sRuVtZgOiSl()wO^D54r<)|Z)Sqv0gVC#lCbNxea^U0X8l*=p9|?eG=2hhW z`mDK-5S#M(kMBqdxXl%al}!hFB8#f5!LhV#Zoux zC8t;`a)PR1M54+!fb0TZoDlt>czUBaGzO>}(QR6-ED2$ex~KTG?L6}p1|3MtRM(;` z*kgIeWCr3W!mx+v4>7}+UAw{L=U+d$hBAecm3gPF1cx8^F5s1d_4dtdQq%V;!)RbJ z#bn@o?<)_yBivgG`nc;(amcKQUN}mY%FF0l5T{_ixVqp4ulzBVNrVWN$y$?iY^<4i zA{X{WsGmKp8Q}nouh#R6GUnS!y8!{n$cUG?FEs3ts1^*z_P&(U-cWv1p{JwQy~|`c8auK~*4HA##YW%*QDsy^@Q03k zQ@XP^`T?PsX~+)w21DwayUPS&ixJk#t}=7dWiR*Gp0!L$UTlchiP%v#Gw^#&EMPH_iF;|=RMoh?mH7ENZ z{Ix7<06vqQE>#C}2oBtuvf>Kv8qEv#64-UQ_lhygXY_+Q`Hn61mzVpUm3Eq#Wy{V7 z6%`H#^|JKg#X+INUwzO$F36+1O4Q$%)}VH8YM2&M5ECYAy0TY|Y|O_joUm+QQgPP{ z1x6FReB)hd91iqxvxId@m}nV&p{tc^$=&WpJbYT8tvKEwYI3$8M>ai4Pi|&0=Y#a# z056sLR4_7NZBdYq%z!Hv-(?PFc$N^XpnIjq|E~91O%X73j+&=Ma24Z zw@;*(>X(ebfwzvP?6!z}MR#q^PO~9}*6ZW-h}=SHJsjytFU`y>-0&;cX=YlT2FqRF z?ylX-_V3WR%%<+5^f$-fH6K9w2^IJ&xWSP~a6Cml)hlm&Tcx85Z%s0b9BDM@)}ejA zNt333Db+LSTd@QdTTEu<2fyrQ%z>r3a~uiVfmnMyeXjHBYyWnZ(L);nRKdIPiM?Jb zz^AZ4I~R%>tUPI4=!H5N1kr9HaUCNSaWa^KZS|p)uei0WN?G=b5+hgd7@^F^>$>;l zZc7MAAIN1XDKyH`UN@0cT9Y1v1qWPa+8d!ca4^?z4a<+oB%wv9Q5oHOAx5MX3)Z1X4%FQOz^dI9%?-OUe!rQEiAL|BZEZKG3s;dyg>L-{^f0e1FQ&?z$=SD^0?iw$9| zDDLvT071$hnHbRlXGsm?L0%v@y*@RX4&3I!4MJA4(?2FKmKG`|fiyQI>?5Bcn6mip zrqot>$+3kl=i%;t8uiNxPvV^0({J2H>sp*0{ahulyb-`yd^I;|5asOL{oNVl%Y}pM zz{BOxlvOfunDig@$Xoz)W{{I7Xz*?ygdC_Y6<_CCEydKljLYf5T3PcYDo`=2EN4l? z)|F`c=G)%u3TV3VAI~=#w~3fmZ1HiZjL)e?kRuMTpHgamnORKZ%p*AMLaG{@IKAk} zfZnEXU3Ej~opGd_BTDk(U|THZNKX&)$~CxLcZ$xvaXMtlg#zEtj2W@uvv_^qMMO-K z61Z@pLJ;hChLn0H9Q@BwHQC&)A2paZ0P?wxE$kYarJYMmc)`6IbjKv*oIG?e>nuP& zD{2OJsYm%?L}&VCe?D+&^orTuOhFkamcU97(H8$+@le1{#L`vqZRoc#Kn;l*Gb z91C=-lCg^lS=VlJ6-(PPR-BBmlwY>o6h`re?JHU$PDK^Q@jg&=vbNQO@WvtmfN!L<(DLzoV;sMJS*X{MG-eDHbb82K zQ9I#1-nTk5Y|edaiRF3BfXMyAa`dC&u{Cbj`#KG%SNr{daV8*deE*qwt!BzYL%4ao z8TAfyR7LmYu!jC!sZW~+?^EY{id^IVp~w;$12(Y_u)?a1$Wf6x*pN(EathkbQ?l^U z-4p5K;LNL$)zK8!5Dry3m{_;|3ybR1yHjn}Sc^ zpEl7_nZGx$=&n}QrfuEtl=dyf>iBrF7%VP!Z;tVs&FHPJUBZRT=^=Px9+_ulOXk~R zhnVgiAYj9e)&|OTaM|-Lfh~xe6V6Z@(;OBJnKXqO;j#=z7{HF(P~RY6**>3p4-T}Z zrPOB-zbFoLkQ@jz1H29QX1>Qvh**-2-lU%3SG>8}J~^Jw+RsQQKX>!4o;LN%TMuHg zd0H+}=24LUjv0L<1ouY0Nd>5)>|V3pGx1L9fjTlxEArg!tL~d_0Yw(BPjB~W?=_uX zN}ccCK(@_XD_5(qM#VXD3u;zof3CKUW)R$nHE^*X_F)VOsq*AoykE`3n>o{K9j9Nm zR@7;1{cKyYF5>YXeQ_^Y$sZb}FZzn7wJnh!yO|^Ng zF+&(64*z$vr#S2of-d&>pGgI(lX~*3tJCo3@wrviTd`ghqEksmKOZrtp7Pq`g-v%O zIP~%$U%bna2TXqp>KID-NaSTKRIz4@!MHd=3Izuo585&kn|w2Kj}}jM8qzF_Y}5Y1 z{axp{-cYESPjdak>WYsB2DnA|cLl6GP~5^+~u;(235VVZTa@N-N&QLFKL*T%fQ;tchjjPTle_<1tBSG&oZ4B z%Y{%|XU+;P!KWQWfPK?oAgb91hOj#SPvZWzGs(Tdl#aUh#0ojvQ|aq6*fh2g>q+FW zvd_J@qiKzHXFLv(Zl*++T1fG#9IbW}4PtW1{0`=ywOMv*c|{%d~~eww>b=-i+pOu7#+yt=2+U_d!p;#xvK~E1;Bk z$5G4KNQ9SqOB-SA#86!v*jdZ~JQxsA0*c+(jMQ;hEVZS*tt2YTU#M;a`I zTn>d#DUVMz_uh5D+;wia&oejNB0|gQ@&@o6ZdQw}X*mVh=!M6euSc%I%OJ^WLJ|D} z_S5cK%e&9BVhveBY22dTs;_yof~%uge)&cfq8H7$Ja-;A6_I3jxnp)2!DWJd`LQ@- zOc|vS4G^GN*~wUPWEhT8mP#0_7}kBz4=Eeo5vc)5+~r?)*Yx;V$PPM0*X{eO$jb0d z!;j5jd1m_v%~qsM9Ch#D_14FfD{kTPimkMqY&@E z4Myr&noIBBfcVf2?_ap!uTW+egq`54+|VsjO=N`OQ-?QSc>Y?QV{df=!+(1qJLR{p{iJ( z-=gcX7+u`!M`*JE(RKWM5SNS!R#~Ift?E7u%vZ4PLYX+8l9#5e4Ul&g*UbxP*y7<` z)ht%E6eT4HN=Y3|)zeIZnTZ9{h1H6AxRY95MVW|MPC_N^{r z6$7{Kw;X|OP9Qaj=qP!WHDzG5{{%-;HY%Xr0#{hxUWE*YWF7Qx=ofU$XxQgl-B(ML2Mb@9qf{&-i&2S_ScgO} zDK0`Qh1XU-IxvQo(V4PwNaMB(an245jF`&D9~|cfWu;(Am)@p)%W3O`nfH50dljs) zPDv9wIBv7ZdoPm`hz_cPIfwKu}t z%!n`+YEY|k%`w|^M#KBwmBt_^8CRu)pS<@}Fsg&!47YW;T)SH^KNlCPlQB?|46z_y=zWStC!v_)OT~|B zsu|s{KeRd$(q>(HGqipZWkRI3qDJR4qUBP;S@Al9QQpmjCO5=W%kIw2!!$$lhubKxEThzJErtO;h8J&BeoYGopHw61vQEusH7r`)9(}+BB z3Jr`J9h->JZaQmksCjxi6}E=7pmn$|ypyX0_ zkLj0$yiqJxXyb7yqz<3rN64Um#E+JthplrYEXGY>wRLxg@&B>+-EmE2LEDNVNLPvo zh!jCOB#?v-q4(ZFq(i8Igf0q52azVyMVf$05wKCDBUPH96p;=}u^}ME?P-DbA3^uc>ODq85K9t|shV%WDH0D1 z_4{ji9+xH{c&E#hQ;;tSKjde`)txlB-=ov)MSkbt*w8jz$AI|k6(>{4^4wb32`7qF z*7J$yt`jSR9@rLWKj7tmuqjntp|WPM@AiFe%ib82knOa3-`v%b57a_8vKf6hk~PYs zvJ8$1X1e6`cH{}Zjd45_V)g9B#o|rE_92xAq&DkyJXe&C!}{)!8{dnI|JXfN)1vBa zpPSypGUZTE zC#3Msf9^;V)tY%mP-47<)=k(c$$ES~@r{eogc_d~{Y_rz8;?U7ul(;mpv_$xH>Q^A zy<d1|%V?lKV#p?7I&duPo4HtPW`hddN0^!4|sbYEc79IJ7n(XkXgxUGoH+%PZ%_=IJg4xa8+(11PSqi2Soq0<^zE&R z2yW|i6zAP7bt@#NxmB9#zVgi1GI2{wRq^f4vJ`JjcrRtU3%6PCpNEO!Q}?}SH+mPx zYmstyO!}j7^fg!xn81+ZsH+MH|K9VG1YA!iH}bni%v%&yQ@-VW1_;xl=0Pfm!>J6B zR&-7c#4)YE6)wVZUGZL*cs19nSCt#nwH(2-&tI$?DUz1NjYrB9hALj&OvqkDapwJ-OISAiQ0ojmHkR5l$mCG z$>(n@l%ajWMt*C%H9xi2^l zdd(LoZ&ls@43yDU&P25k?@>kz*;PmL6A9ly+WxnifSp;2?7zC(-1d{jxfHff1 z9Z2REVt@$1c=*2yR%z6x9^->>vFw5tv`&yPN6lb-f zzD`%4!}Nm^aZ(dl^wiPr=cpdEsX2>2y8(q7QMAR!P3kl@oEK->@ajLY2ANMA6ipb} zjG*Y}tU`}xzF9dqYik)~&{9y>M;7z(_T!fU4yn(n?1rPMaEcc9=Xg)3k$rmfB$3$Z zWno3g<{eTYVcpdAkr4YPIU0kam^)FIc%Cj%$y_FSf*Z_w=rsO*o4by_S1SsXXY@8~ zh?pNxLe9v!R?7}{9@Ld7V*4}`d}MBH=q!Wh%IfU!`{{Mg+I_(?h3b1s`mVC2pON%k zl{WRCgrVh1LwkYIp6GSN*CP zplh^)4kN{@XC$^)Ce>YBs;kjyBJOlPook{V3lXEwSA27S4ah>Wb zCaN~Y8Pmu}@DE7gUGP8o(pKON<^2P&m&cFi@7K-5NvNYl9(Tkuri3;1WeIYsMHFUb zv2p4rq%O5l&4+%Fb-OC68W)nobtaxi(fC+VWP7A?q^iX0^ABbt733)vx@QBzgiMpon1)G5E@!1=J8KI-{+f(Ez%jqd6MkVU4BZML1al zScH~eF>he}pl{?^e@je`x#Vwzs~v;I4s>uj+&AoW2^UkI1@NDKLYEe9_aK5r)lXKo zQL`)krfJG(LfI8f5T}}q&Q+=bE>*^vOzwh(h}vgukRxMiaZ)(d3yb6~Ga?u2LSYgw zJP8~=aJfF9j}FtZ7oeYPINoG4!t=oJQgn6Ph4$Q1mKhRetwz#W66O_C$LNu>OX*Be z3dwBsUR}{lQJaqs`S2YnUT2LOyLp`{$|bCZKI(Ck=;qD$46MyPh`KPY1EGBpN3P=f zxetMu9!ZlN8|JH#Xn-_&sMKcn;WDqBPs;8)%>0h?v8j7w&~YANOPQGzKCRNwNS3VY zXW!=p2`)HfNYi-usg)@Qp?qppTc`{Gf`sN%kQ~Or8)9zwH_mS-wF?CaO0=GSt``=v z6 zLS|5D2;MwqqK1>(7c)GPV~`qOygo%|A2uCUIDZLs>V%Ip7aDR9YEnXL@#%!H@bZJz z!h3{gP^-b44LG04_=^tRdJ;$1)lNy#b-1##6m#EnL!9?s+9y1ntvk&|_o*kK<{Hnm zeYeEH)kn5k`HU&jZqiYlcEyj0_um!rB`a?UlWU7BoS!{L78>z3ZL5MlYIfgXS~Q%7 zXI!|-SwuFzC2KBI zNA;&D;s=Ho7qm!c9x~F1QCm`}9cd1Y{|pqYJ~f`)+*9BDv8)XA72p*LSQh|_0tgD2 z7eKMEpa4+r#0QueU$8*2ishS40sBRKg)fCkd(dS6nFJN9h`v|Ky_+DLp9czn)y8OAq6uR4*{154} z|4)1WpZ5Mg?fpNcy#Zu^Z`R#+Z8AVl?Z9m8P?2J7MJ$Zqo~7Xb%+wMB{gI~>t84)9 zkbi1;U43mt4k8OF+ z^sDLU5MgGb?XoN&!fOc&AqZ)vZs^^LECg+f;#oGP5KR_$Y+9F#<)<`mUv!->$H8ye zykK?C6w<{Vb!5|>o=7FYGNi8dK6JL)h>uUMgc^2A@mWUc0%+myaSd*i)dJ2 z0Ej7r@3Xe;eicY=8Ci3#H2ITpt^jtWSwn7WYUZTDX$zsK21d&ZAzt{+4)dZ!+FZwY z9e`#F;(gaS$)Zw)O%n+jqCl>0AtVj80!Ep<$3p5|seMvORkP{@*PLFkl!uRRS5>@(*UYie>0(LmCrFwj~gNgV2< z9-5zUHs$YB9`U{Tn7{jcFSnqYcJ)?BB)`C$-Xji2MbhCzIJ8XCu*L_NJN^r zGIgNx6!QEO3lW^uqtwayC^1Q>#hCA1Wu;BZ(S^xgLBGWNtKBQ>?gw4ocSz4i0Zn8& zO+h_j2QLoOZM-NuAkJfs@{Zcj=d8Z9pXWrdb6EWh(D)}N>Q>#gvk!WE@&!ajp%*99 zGP3FoD&L}yN)x-f2NO*qiEyK>NcoV`UIDJ!@-F*_M#zae$X=L5$iBIb+^p+pFfU&#H#rZa$a;5Y+*i1)G*RRI_m<{3LKEpljpIy#6HZ1kS1|vpS z{j9-=b@8;3=9bo2SbU(aeMg@GuHv6?<+1h^rV?Jm&BapN8g0z0AtMJEb>_C#(mTHt z|3n&qRiyuWHsx2RA9%Q*h@U{eh5)dA^Q*AK0EEPEYsxQ!eq!(K+o*&1{v|)*_Ym?w zfICdlDuTfUlI?i`a0b-A^=x)#X0%UW6~jfaX?)~8Qs|qr!BUr+*y1srlbVWDQ1Ynr zack^I4?794-M$TG=mDHhfYU($m~8-zmk{7I0Uja7 z83cg=AW)(2qO}7cMZ2au7y|vZm@~FNFq8WCBmV>B0V*+H0YHPHWZoZ66c7jXH_MJZ zV&a+bZ{23p0`Na!bRVLZo?4RiH5N!J5u(^fXph^UA{&;v8dTg#Rcu4cn-ZJRBejAhejiuxR6@d;S8 zD}1yACbUa$@BxgPQ&g>c4(P5m z8PGABV*msq%sl^b9kXk(+OZ$NU@#AWp96vbgd|uU!w<#&1`7b- z!$NyHC|_bwSV8_a+TdS>bH(<@Pw5zwSTVH&80bKuU|xUz?bULHFdEGmaa?wMt%MEb zXJy11w^s(vZ&&%AY@|za*zd|3LNIbD93PAulW)#(4&o+oT`qviF!4< zn+Ws6S2MJ&%o$XhI~=cSy%acbXUM7M2%%iUEe{4=S_CECYrEb7SSe5j-ZboU+n`7ijsP);-v3{{!6v?4Ny8cwcl62#A;R1G)#m zGZzHx8h&6;9f&Uj+(-a?>34DRU^}>4Uq+c9@{3T?Uk#amZr`BeD%Gq(0KoY<+4K9z zB`ic16|xJCG<9oBy27P4A2oWoE#ot)qTKFGwS4ptry&$cF6vtAHt89w1=|_=%f|V9 zP%b@n5+_k9MBD9%I&Vx+6|oA31xU7Qdjy(l(G--(pEbZxgfy1BSb-m(CVDYY*PBBz zG5vIZf&PUnvJGnt>L0i#j)YFhl)qxQ;>~;`j$pn@_6m-!0KKVI9CG9_M^>Z!x`Yi` zRL#|kR-|0{XdOf^k<7dR!6jNw?|4nKRa8o@3Af!tO3kC(bx?Mpd)h}6sL#`$xI-Hu z=-R1G7@neWu+Z&&?pNq`fQ}A~gEc_s&(B5$4)h!eG>u%Pdb)MpyF8rX;9L2vpj@FKez%XkA+u5~eX=E0bNhokwDM(3 z4O#k|gdPeN(Jr=MvM3=6hR_!pATmfo-J=zY(PRT`)Sjc0>CWiw+y?#`fk5u|nz@Nv z>o@5d#L+MVWc;T5BV6izZgcGIdk)JkqvofEw_yRmGlhU1_;14k050->*FF*a zoeuF%?BFjx5S(UxE0Z1Lcdq_G z5uR{7y5pI4to-w919|+KY5nEbDiG3&O6>!FMUv#A9k8$1~AB%v^I2?AXFDT`(Iy^FqPQaba=46wz{%P zsXu>gbRhD~Kzpfq}k1<&Ex#ydP^0U5u#jkqfM2%S^ zMN*4rhEH|NiO@3BI>s|4%ja=Dk8_QBJ*mM}n`-8t@Oe@xW3uABX=DbI92IvZ`uJ32 z<|xM|*OItXbKwfg=h01<^H3b)%9?$~A|dW3q;{+Glb@Q6h_9Ess_;V2Q+bxNFc2+jAdHPT!eCX+e*vOEq zF`;0cjrU;dE?poBN*8_R-qb$%C@*47?O4DSk{|%n-}6ic7_{FpB77AgddbeMTcO z=aKzR8X#|FrHxP&YhYy?4V4-dUzFVN%qM`}2+;)7Pe~7motT$>5isoW#Mi0k4Kll% zj-lx`=}Atvs+;+@*U+!#&wxB12l6*Lc7?yP9M+&z5J{m+)zNdvqY%~Pa1UJ%FR#>1w!jsX#?=ey{@T52vUrO8`Vk7?sL`^=NVUJf)-#f|zI!Q3spmJ5eerUz2wj}_|4q|SSC578ql zSx0Q9Qy%yx*p!Yc-|S^|V|mUPE#2Xg$SM8Q|0AK9gv_k7q{?lBWJ$`8Z4Zlb2~>~7 zEgs;ktJfH)c2yzFG&*Fb?-^~=8jwltopqnO!@M!a18+yU!f?CnGg|?unK6lm4htcXTg(r*7K5^bsQKag@9TjJ`>|HKW(3ToM z+WX|jTf6zp^F(7fv1|Ja$wlbaaihiZ7OcHKZZGA}8K*^Cvg;&Y@oC&fMaEKGFT}}F zK9h}hE|_rSdFd#Aj?tBT6kyj*V0nI4{qsqV;g2T4#bcfkEagia&hL;nSsW|Y^XQZk zq;4pwzRdKLzZR{{OJyBiZI!QwLK+{W)Todoj8J^QwQvzPO9|}P4o-*98}+u(+|EoPdBrX+D&EK$(v+ooXi}(30pC^OQtZi- zS9_P_l&k%HUS|-r_1z?lvsroZO3Z$wNo8g^%61Z6>ZlUS6mxpSxP3`+W%`53HI4hY zda1Ai8c0w1nJEfSiMxfD$RVqJe=DouIyMj%nrUUk&m+IE zG(IQf>Kk>u&Bs&2pn*<3G$l(;xPP?a-W5tx0eib`fw`Rc-VatrPjl*-Gu9+$ay!-v zbJQF{QkLJP9^}?(gUFkllm{?kzf|p@`GZrw(*v z)yf-y$p}1gF5+B8Fb|lrDD)y1(qn=yHfE^M*W(G(%VboaF-pOG%F}5h)aiMCY(*H^ zIw3!3^}21aY6PM4s-OU!85i%LN@x5;K}>E!JWO!ma2#$mE zN*_riT+xVCY50lzF7bzQTC@g{BB*7_qqQls!Dh-5JPo!*`<^b$H>lSXA(XFDtw|(Y zw5RNiXG&APr&7HyG^iM%JK3ITakGaZjzLw)LzVP4V+FEoU;!$37r*-PvzzSeQ!k{f ziQo3zb1pQ#scjZF%*Z_4R{c#t|IZ+yh+=_8eWv9Io+TqKJPO-g9|9{P*J7Kb*H zWgS+FeWDBF^$(t2dJ}fbmOUShw-4IZy;7htA(VKQ`RK`YWpZGfuQ6-D3RaP4d&prl zS}`dFC!Tj;a^IyibruN{goIBH*SS+ezEjQn^$)81Wx#N*UsaFMlr-j`RPX?YGFA(< zMrooea%f6!(Z^BulhL&=&4nMVYlgnsl+szLBof6Zk}>tr^C8f9?ym+m<$i8FEufyG zx2faQ;@+RgWzbK>0u^JVfArLA+fkXBrQY_^3(?K6eeTKX$CLt>n&=A2L3Jw&hqr?W zE^H8IK_$m|@k-Ybb?CWwuvp{OOhriLk$bNa-Zp0iICNj{BB((kc<9`N^zyl72>A;gUIkw^;T^MI%HfG z1?k!ID{Hb3w_@1N-<(??;8k7lx!2KcduiCmqRo(=Hv>-HHCz@n=_h(^#m-TjE4HOT z;_RbG=e@U1*Y3+6eAKz83AiiJ@)J=XZ1(DxpuvvIBmnGBfB=3n0e*mr0&tZCAOKSk z#*YWG*S=3~EVvV>_~O3{!2WZWNhVG?nhHdOu>egnRW~Ez$xGzu%uj_76Ph(Gu8&AC z_iG)!cUIS09TW*-fyrB6I=ei0#Vpk|Msywp$6ozAXiP^gu2W4iNbkAZ?%Pu3y5hJ#ef5iu|v7hlx@ z%heBa$_-}P&c`m9raI0)*LQksmmtQT99vz3IC+S(Y~?~`n@?|61Pkc(TYl>~#^Cgt zu8U=hFVff@#0MqX+r%w|$|%>)`&HJMTmalqzS^66@;D0p+8ztwXMS^bem%Ykpw)kC z?bqX**n9gHbrU~G zPU4DAJrRbm&CW$U%V9VyQc>IXEYbApW2&^I8OI2wGDu2Z;6`qB-5@=maCAuId4QEO znw-jYFTDj&XMF2GAjpaB&mY)e0T>g%o%Yi~PVBY+L68$rf8Q>;qa-` zMtCYw9=YMT?P;x{APvC)ejFhJ6&$}axU(IL=}hBb!6*$e^P}0N+*i7Jc$`lqQFPbF z-ltJXV^`1YVdx2mo!}-qh95z%n6Z%Txnpm zXR(OTuSHY<7WQwF@-HZvU2FTlpk#ixiSjSSXMRG-w21&@v1GD6=k?w~%{pdv5Y1OnOSm9~Xg$8b54AC2tf?CD~c@x?uk- zvqOW4%jM}5@7Sure7n|~pH(wE*Zy%e0|xlO zz6sA)H3M+y2{FI`J`@Z^6`*DS+G>C$3`n|%g1^g)f|cW4dgj-*?RWa)r}lBJVs_D0 z8yX>2`VL9iPcki?X_Teodmv$zah5>3&{`rVxo0F)@31~w@L^w}QGc+ZQ*5f+TvjnL zuBX5{ks1U?gGYCtEdnoWihVuFKxiH;;8e<{ar$0=UOe=|BlDW%*_=%`G z7+8M(C@nA;4~E!G5HQ&JFwz1Mz+@IdFob8|?`0N&f&IE&!Q20Vs5&|dM1lh+IxuP(es6?a;10pt{Ye0?ISfwzeVO;Q69y6 z7nfLBhtX^47C{`Ij-uaYFlN7l2FBsP=g91`G5)zr1qLc%z75PCMR#A^Bajf^?&X-M zQN-;cAK5+)l8G0Ght6o_wX^ryNM2ZoAipmyajI5rDK*{ueGUEPC%NZGK8I{L+%KKw zD~or2Bye&{{oSToP3&+hg^Ve=v2K)u zi%~JE*h1op=kXVEcTKGyZU?n%@s2spKU_KsY~@89G}w!^56t&<9o@6&5-1zO_LA1O zqDwH}*Ohb6qDu@L)VEIkrJ_q9{qDDxzMJ5`JL_{N$6v$T%?Sl$g#OiOD1f*QNUL>s zat7Z0OJzF((4Q^3To|mjACaWMeF<{R?!B#ah0yt+dEFtH)JNv~8l{H=CxMuOYQc#D`_JhWm zKfu-ToRo(fx6E(`hRCX0-z)KRa4-IHKt(G5JI*{vJ>jEh8Y zBRnz?MRd;KnuXA`rc9L_TYHRmXf65Bv?kqxVnT;5Pur2UPI-FSj!Yd2_bbYoq@3@C zv(=gS6XTVG-l5d0y2J%Hl5f&|G}jFkZB@f>x?|IPbj0HH2bWuJbM6^O*?qg?^W7#A z-WM$&X~&z%;!7JmzEz@Sdm*IJ>+cw*O7K72y_*GKvlxS6(uu*0ilqThD#6*7Bke z3Nbn#gxo)p&NjR{FU!_%^VV&Sgu7WZB1tNN#r@+&Wx`U!gC9XiY4ToPvK2G4xl_@Ou*(4oY{nxy6B+v(lV<}UZ57L#YH z(~#!#Toa^nor^6@8K4=x2hqK9`oIr~kfZI|VgSXQGW$f}UMd{4%YNH2r62&eACO=o z_^k#LaNU4{%Rkg$?&z6sb`$L1p=#VCZT?R+m^*su$MfZ3LPCOAM(#h9U}7E%3Kif3 z3Wj!`?Z=8R!5F^guT||*(tv*6@fPF(zDi7A{=I7s2JJFve%fZn-o`($nL)d)p#T4i zF#k`R|DQJhKW+YxwfQcC{I6^;Y$X$*wsz7`{)?JS*l+L`7*b*kk?)RT{D(D}3xltX z`XtE?nUx5c!K^s5?m*ZX8J_CZ_U3Af#^{u@9ckS#J)slvWlRYks@xezxG7893GpQIxsQ&D@%k;GbI zrB;RAHQYX+b>PHVZ81Lb@$NCr6RaX$6~kFRW}u;HMww)pRb-U#96fqs)@T zf8ySZMqw>Q+1U;%W!)rai_WV_Emec}${yLPtTu!jsDL88#*pgV;1y;_9I`fch6Vd3j>9DbJ`HdTcg33mD1~e^VX{VO$A2j4Psu}M; z%QjrLJlgd+NO`!n{6nY_k8$|DBW>eC(OPM4&`N{HN)IKKT*vQ32A>n!SEB)?=dMB8 zN_!ntQT{0UCYdwVPCmL>P)U;ub;0I+1F;rEZpXrD#!x?o+!OC?FR*z8ET$DeAEi$o zx|@DknL?RUvTJ+7?(KR)Grl30F+~aCJssT;teYSiaxTNlBM0IK6h@9$VtFVB0IjaBZF-dIEjg0<&vwz53d8Eb7+? zT667UqhrO&mrB^1Jk+keWV;zY;bO}Od6ytUJ-pySa=<4vdy3rr-ZO-&4nd9;$4l*! z#Z44Tvx$B%OQ}D|GFZD|VuhIUIPcf^xx~Ie`enjvr}%cYNc@j6p@H@QK3C;|(XL$a zTd}k`l5Okf8Yk({qiQ z?;0nLDbLn1qCXzfPEZbax?(cPW~l$>9{*hfAM@~Xh1oeA`PLgl7RMr~Y`dRJ#B45d zEyQzXvLLRmm1LZg%wu^Xw!LumlUPFB>_WLg_w%!d$O#uhD~R$aU-OFw2Zz&S*BmCm zJFs1nSlehi`Ao93gzxsKK3-n$9iqvwaG};}>P1W55im83aSAw#H31lazC>JV`P^m} zLcZ&<&!5zLy_iF>Bvev!_mz&*8-*QCb-5YWVQ~&~5mq9#lX@Se_>&@ryy;mfE*EyT zom8>Xzm~XpjP*{|?N@zqV`fAdZpwj1tm+R~;CFZs>=U6t?zWTu;XR`|K)mHQGYVVp z^`nL-Hj@7hYv-RCo`0(4{Gtbe`2QbkId{x7OepWiYdOK7FWU@%xu5WRe2{2ZWE??Wg{hmk*ypNvQ7DC3!wq6G6h2?eN=#lR-gK7am($K=^`N zo}Hm6zMVEeXz6?P^g&TMhS5unW%Y&y*8C=`U>9G*EKTBPbGmQWYzCuKPI24Tok}#| zFia&~b>o~pP^1RMpUoj!zsH?#*y0t&e(Bi*_?7+%1>dcnlfX7!9h!q|Pe*H4T;^w@ znBd*f80>ucIy3+zAB-Q!KlnN{05>=k0{iaJ>;(6}2H5`l++g%25nyP@q&L#)k3BO# zpRA}>0-3;9;KDWDw|Z6KZXnJjtJaTm$>C4y5+I>a&8oX@M!ZprXEMm%2nLkDI#od{ z8YuJ3b+moq;~lFQ787=zw}R)M9(sMM$F}y=i&ARuit*)Xy)Fsl?J4qDIcQ?dvkZzC zeDrao1NbyR6jSVTy#$aOOm>fBubnI~c$W+O6H!d?ZhvrRV1RHkm;oXLKqF%6Rbem? z4-BAehXTln0^b`J?2UYLsX=`IIaDG=L>)0Excs@Gp4c(0jP{OkUG)(b23OJhc-@a= z)DpQmpXJRQ$UAN*Hovyy_IxR1>-0%7J;o$ORet%7{*IbAf>R?Th$?#(u1n)y$MWP( z&=y?W63A;8NzwMBdNjojTPNh(H#1HR-D^UCcX`1-)9t(c+1=d^pBkN`_KFR_tK6^?R3Vi+AZyJ?*M5(0Z4lq`kdzjljYsgUh+DVSd>KQo-|Ep zb7SvZwCB_Qd`spEl*py|cf_3q;`-rNMWzNgdSO>B@#xxV{bPnY8>$rY)9F$o+ zu<*Y2)Ih1u9cX~@^R{VuU}>hvkh7_{@BmnwE%t#_Em>jtaYmcEm`$w5svLBC4$SWs zX0)68KQo#5|GX|^Cj=DOOvG$df0+Ra30=le{>7utcOBbtHCqshPON_awk%chpzOo!Itfu zKj?18-58ky(hVj^s88+H>-@jA=mMoTe=t;`KqWU&)&>DK{y`Y5QYa=1696a%tRpb= zy9)sJR=#!o{{TX5_{^OhOopdo-L@${*ineh8{$&u zyFua$xmhLFl%K3@EPi^oB2s)$Vz8Jc@@+iJk#$;Z*P4UX3ZR23Y(&fqCSx^Vf*l08F-W)T00*+Au9)^l7m~ElO}rn z-faIFMGId!hPcspF-;U5D_I8?4;kHL$Zdu>Ek5ILf(|v)k4~vtb%KDqxc1Q z=f{?R1tInDkj8T)$h zT>1O5>RCs-%u;4Dy@tg^^eU9dZmR2ZJpQz5e?4~v6+pspI^HgBAU>5#f{FSv*CDt_ zHu4G@*GT?j!!_td*18zJ)HnGgUCsn_ohFn^!-SU!9wxnb;%Cny8uz-zeYNj#V3eaJ zZ_e8nEyIt!8%*gLUS;|&*vQ~r)6t^85Oq6g z6OJ=RC+dIZ9`q%YFZNocR1xi-qW)`!4*#DF9exOu2Y?>{fk1#x0e%ZW z1$Z!;hK~=%!}mP{6Yvstxn$sfDSh%gE}504qH_ZuQPl?JCrN{%xV6BHNO49<)T`@@ zp94r1Pl1i7O9Z4zt{&00gXS$f&+!|(dv(y$`QEif!kD*;mJ}ZpVHmGV zq;59!89O8WIU?TYdgd!-(!u-V$OzLzVv0C|We7GpZ3J{u)A67M20B-{V;Y%wys(dSE-NpXX39A2M?4@` zHf9j-_?(;sKSFWpJq8>4s;HPflU}{_=-GDzszsF!6W#ZO;DyrxmvLCKYr;`hkBe&R!@UgIl%t#t9M*4xvdu`3LTPd?Su+~$3aK^Tu< z&n@0lwtr^?|8raGPc|?HNEfKR0J6Av_%PTBz=ke%^BS-M{!X@kX9I)z|8sNelt!!5 zK@ibKB>}`t_6Cb?$ua{AQH4v<$!A71LzbOf={~ylRxH*$CHsc0FJ);35skUro}&u&x4n-2vyG3~LmDL2QL2 zUmMo`; zjm0F!+Uga7%-)TF;+1Og!AHQ*vqvgR>^bzmW@ZcQGP8dKhk8UIpc014as&OBN$EWxlgy7e2ks~wDo4(`Kq?;K0G(&}=(T&G# z)JoIj23f968b5AzwQ8d}f>XvxQ=sxpJ(>30Vnn4S;@Q*@vwgaml)Zb6r@$@?9LRmZ z_UI4fJ^+*To8kV`xewTD|AX8I09*UpMX^aPFo4d44~wS&Bn)HLMnNGS0Dc89sQ{$$ z@1+Za`LXexuTcvyoa!eT5aJ98_9vn>#}*S6zh_>r;%jcQC;!pIhdPXI?8 zi*c_JTe@_J&692-RmQ2U>$A&*<=w4w=N_IMHMTA_j7z~+Pevb@8mWwXMfQ>46ONV1 z)BO)zWwe^6+8*p1=Q*%%z;+=j7lqKQ&+48p;=0})p5`b{)n9s&;tkI6_fu@cv)29N zXQ~s4j(P+{5n7#d1~)kq9wuqfQ*91?$U$45gN_Dy)EC9g(2>*Kr0l{sn$<5%N^1bw>< zf+_YZapB&m9vOO_OmgF9_ar7q_^#y!AdQ`u^@#SA#$Pj<1a=us|AKbewaQ7kxI0^+ zfK*LE0X{xHARs2=;$)6+#^!DUNGoS}Wt}ZutgOHO%>Y0iVqnw(E8gAN5{+A%*r*na$8 zewjk}@9?cyeGWBK$sKB*A!tra^kJns#A-t|L-6o1UC>cN6u+1>Ik_b{ApmqGO=u~` z&rGOI{#P(pJkpf*dkHsW*V>0swL2>4Z%Z?Fb3pyHs>NRWAE?^jF-QIDvcH4y#jx{h zx9mf9FDrj1`v9E{kbV5?qK|!6V9nc6-G35&EL+ZQBLfBl3Wb5>KacAZ=zG<0V1eIxVt@$zQZ*cShm`A2%MKXZjo%l2OgS6?Hz>QYPOv3u)v1sz z?|@%$De}JOkz=m4Yo8fCp#j7>M(CNPp2;4L2tSWk*=f2WqXAJjXjYuN#3IE3&bEN^ zXMt@8+>3nN25{2_mt}u=e)#{kBzm= zgO67|s=CL|k^8)uTamiqVUK~Mh8@=1dtUz9qVtVC>ED1(2Ja?Y`gKM7g<0gw4)OmQ zmZ?e`h{T_PNNyYh^~IoJcl{P?^YfAtk;fBe_b+5+_qAWG3dP}h=eq$`Y%=6gbU1k0 zJ(lIzZG-JKG1di@vMWh-9TP|K!Yu?{j6}}S?njxu7MuerjV@YJ3GS(Acdej+qWwZM z^Y@B&*BbZpiWYP2f1qf8#|4Ag|AfH+f*Anv8U*Yl@Bj$qLO{xf5K!F);sf^LzFTVx zkTGDd{bjWIe-S7fyhBFwC++ehMs4gaC)ukiXWs}>HJjbP^dMMse^bVgKA-(< zKC6{#(m~`*zr67^i5zNkk)zykXQf0m??}aJLwsjno0v^xCYw!Ijrt>;UiD}?J^`_^ zy_`1NAD%!L)OdHl5sX_|Rtf!(0Ws}f9h@K)m)y)(HNvS^`S}DAarRB$((MLMk#iaE zyan16>i-iLAR5Aboi&oM)|591@vm5!+guL9ZD zqjg*XOsLvnCf|{!a7yB1Xvi@w0p8-Y!E%4|C+kf3)jm9CN3E%%xO}5y;Q<-SL;gAX z0U*Eqy%lL0Tm57eM!in0(d9?l*AEVqmiuS%Z;HIA@T*t%6$mW$SQDIm?>LI|vb*LT zp=I-aZbvC;!k7~2r=`igBfOk9d|X-x>AGNnv&1iWk@hz*I*CTvbzn~k-sKK|Qz{r6 z{QGHezd4b+wu+?zmjVqG4=N)7zZD~GT4#3Pzcm1c4b*R^>SkpltR*A&<$AzhVoY{uG*XzC*VEIJ$CIDO#m$x%DC8I7hB{B|9ryPG5S zDpr=f){fRr05K+t7sLbN-RnG{d%m6MjN-v|4v(da6R(#!5;%$PuTGLzbh7>aiMG55 zC)>X|T~+n_Cp$Uqc_s?2W&K-+?s>l`EbhFPHOj@^&C(h;oO!RuMxkXrfS&v^GC$Ce ztOwAIf6gl-q-#r4?Fz}9A@o|0^|}9**&BYg_d*vq3)G)`HNl!S3?xH%H(h_D36V_lqifkQ=kfBWjU=ffP2MxY(7 zu~Yrq48+cPxFh=apJLj_Oj<_-Mi&S>nmYrd;>B%cZDa24h-MN;INP|`x|t*G5Z2tb z=GKlb+_Gw-ygO(7zNMW{e}4h&4gT?7M1futmUgqoNRW<;i=+6CN>ltzt{4DD1wIBg zeT1`>izkX*l=qKk?0VR5Us=nTqpig;s*fAcdwhaAd_ux}5a1stAHOgk->yb>j{HL# zsxDRt8}Gkv1N^OxT}S@0jV}wr54E!U*gv$RW9RN<;cSj@ME#+QG5!Ag9^M(=uS1CW z9%=4|vc{+vF{ZEjWw$i_CJz|l1@xn^C&CJCCk`;(V7qA7N59?GpHH&0M%db+f$kRg z?W`}Keebj{a;&5wEC1VhUq1Zac?b*(mN>7FJ+A;9uBq){q@-mFmx9~M!nNU2ig36L zFH94T(v`RKu#y+@F_#zeRFqM5SB1j`G#wn(;GBGL>`Mv`9FKX)!daQU;39BM%*nt@ z6E4NeDFByokaMsAD>=gDb+8}9ZFnu{Pvs5Q5TL-nJgHj+#DNIu5SN-iG`d(rUVz&JIv@A2mBGT_Xh@ z89sMKMI{$iC22cbBReIa>s+<@^w4U0%2ICL0=ycs*akuBI($Y3`f`ru`XDbW14ki! zBd{IP+zE}c(1&;!@@s*toUH_`4UjM!1rVnVzq+iQp}7J=(McI0;H_rw!YSgtYiGlzP(Xu;u%z~d{*NNED%qG>2A4G4q< zrf==bQ{yljnRy|E3tSSWbPsVZm*Xsb!f=_w&BJ!E~9WVB># z?aZ}xz4Z}>FcoPjehqCkYb9A*FDqRKPh0FGE7=>#$s?>BtmJh01zpvBJiTp^*arDH zHGJ%pv>ni@I=T=IEk`RueLbXwfgH%%0Hoz;vG8(*3d&pY$!QztSfh-* z0U<%@s7l%M^TK6SeK-vaI62XpybxI>UXZT0ft{Sa0JcF}BYTJ(ud0rwjXD}>WuR~G zrjF7>Dx!2fKz4GPf=&vGx&kOpO-ETfM|nMzGsH;F0p^HsbJtgPhZws4{w=n_-CtQN zyL;(@|N4ikm8G768=sfn|D)_bwp>@5C1LP^Fo0G=lF*vazzmYm8X$nD@7T%jR^6_@ z>;9_;XP;bqXGQ`c+|A9*gK>r%M;b8QnK>F*@Tmy=OYr{&^gUlutT*?17T@S<<@!n< z(H6t~M9N1>;a~^BF`3SO6ulw^^+`$g{ij|s_aj9vEEq0sqS-GYT3h-zpzF$yZg<~U zx0mgOt6_9>I6SBp+*z!}JRr>F;P2Bm&fcv^!*qM5xX`7vL1eh=O_QL?$ZL{AtwsM0 zn3=GIQ2s64t|WZ%!`~oPAyUf9^(CGx^26XoXld6e1sa-qcUvo_cA2O-5%x@bEahFd zRCiV@34a5k=5|IZo(Pvf1Pk7W;{CI93%v;TB{0$~u$hNOb#2>UIU}?aEFwB>zX%S}ppce$wR(VO@ zC!M4cekdRD?+I}ba(WE`7GF z4(h%coG7BBXK_Qs`dgLPzX1!o@f%iZ&t1E<61RHI*$U($w$#o&)KT#cK~szvj$2Y> zB-Qe_vm@#`)P*HH&w0~tw|Uf2&sO*HH(*Mb4-g`QKn$LZ)b!6U<2CBCppSlLzZf== z1KBZ0ky>UyV!>#OFY=g1cxIMR9I^SnU6E*2CIx%@Z$POLBww)oY4h?-45U}ShkJIf zckl`x*{hK{gSII`9XYJ3i*kgUXxz)ufNm4$#aizyKbyzx+ z|$BTh$rF$sUXlHz zMw*q%K?CzP)5xwmFh@a3C@{10Rt(B0akVGNrx+L3Zw`fEM)NDDaJ#FRH(hk-k+jA; z;=b-tz;c-cQP15K)NC5xT@5}~F2zt8@7<4*$0L1*BadB4d~?k4?+ML!@klDh+K%2* za6GO)dPu{i62Qbu*`rdAne#k2T|kg7S*~Dsyq4Ls&a8eX;((C7!$Vd|g|6ESe*-cZ zFCk@(K)0NK$OB}JX#N*Vg8wlYfKh7T*y$(uERu&FITv1QFMLDme+Ijg9m?&%f)JMah1&JrGref3G~+Nt&G0 zCy4Jtb*zwf&k1=3HZ<*2iEo^Mx9Qc=mGMyhE_fQ_is!VW}t;QsdGo@HGWY_jc_ zMh~BTOWjQ}MX2sg(4Pob<$88e#xyxxtT>7SQnU_%m4dmq3c z7Sn->eC9y+RJCRr)DRx{xw39~;5H}y4JbZlnYYQ;vD<-W{P!%s87v4pg8z_80NUyW zK$-vhA2Ywy^WT6<4ew|DztU7RSFCf4xrI>FL4NKx*6J-&Pw&-ly!|~YkL6vM{OfkZ z{%WMhZK<*Xxeplf$zO2r4(8Fd2mG+wkb}|S0tsAmn87Y7B?pQAj=^zZJR0tbveRJj zt;_@d_pRTjzglDf&Nx(uF8t83^6v>R2^Nf!d8n)f(1NCvU_g17;D@?Ia2FR2Oex0y z9=NwUoWU%uKaw-0+!$j?DZ?%6!(8?cv_GlDkdrPJE!*Pc8)V4(BF&CYza;ZHPkyn= zFfWVSRi#Xpye&sU_f=C(`3@SV#FN`mtH__cN55HrPgp81#gYv%1*k1VFFvKOn$YSO zQ8@+P2FdIF9LWgdXhp`P( zMU1I<-`C>xrfCPshw48@lCs%D^BYt&<5~Z>G!np=2-)B55}x?!3&elh82^Q2{+{r^ zW0%iN%=K8(Tjoa`l$#?pMAUOaTH&wlBd>%C3VbKWjYBz^Dxz0VDB46KO_#0C z+z|cadHSu?!vJyFS^)NK|JV=6)qbU!C9!Xot`qpnouvYM9eWl}$ctpU7U54-Z#Wuw z-xFK#6S7Ynx*9fuh?Pfd>fBSD_%M1P{>!xNc@>2C`t3tWHm_>T_$DK{T5ooa ze=x91h9uXa_>>okMV6apCK!?h?Y@k06HQr(m;TL*#U+d$?b*>-K@ulcC(c_wzQm@z2y>7m~Xq!5yCtiYz#^C7wUsIN`@ zilOqUy}IFt4=dkRk*w4Z*il*cBzdko`jRhN|6+z(XD`$Y8=|mkA@lt&ELIm$R;6!P z*RmT0{I$s;!1cy{+t)9Aja!RHp*8LypQ~F4(Xv!$&HOM`t;-wdg1hYC@`qHxicNC|1Zs{k%3x^Ifp@ zb-*z!H4TLXq=~t|YdwxO?qo;_#?!dFOpLK2t}bw6`v&1O8irq@e<%eUY+3i>76xe~ z1;8#5(hxN4d=!UL40CC&l*xc?Ki{13?fw5=tnPnL_z%KNWhi(l2R|f-n2-MjYDPCO zEZ8wyd>^2R0^t`28^xb40_-BUJ7*PHkfo{0B&+%>li%N)Fw;AdBEq{NQ@VC>G! zi{8GHrEYvP9Ex22#y#fz-}Wk>Ia9Mt!-lPUT`dL%*sdanLDSj$N$TbI zh270B?yEP(Idg9&Yhxb3Jw%iQt**p|fe-gLpbOC{DY1c_ozDl|2wwSrM?`;kX$GX5 zFMR>}|A(ZY|Gq8%Uip9Ry8nY=S>lqOz{>j;wfYVtWzpONuIB&t?SKF9H{cY8uQ>`} z_8P9Q`o|4;%Iv{tVXDbT4&ExR`r}7P<`#Z&x4Zz1XD!uhatv<^SzFQaPVo^rNxa`# zKAT?DCvY&u*{X3-Y=g#-hGtOBd40$jpO!%k!bI3UgQa|(3yxc#Bzlc*_~@EqOq%Gv z&6S4YVyxRzVXp!AspPvmgB zZs@!oa?$=#DX*cP0tY8)6jG0Wk&=@{r_fRS7qOfC+R7fyqLX>?F%SDOS*9Y4sbN=> z4Czq)Mct8>Vo`0qBCrydAAD3XiGenZIk$bjtWi;5sTGg+-xJ1Ls>$|HiMmQQ0PeDe z(go47OVPY_@IC%sHsYw!H5d_#Zz4?E{?z<=S;4quf{rx4+i5kL3;cH8^7zMG_8 zHBXm^bB)j4!g~L!vSu&)sylO{KT}mYo7VXVv)l)VGXAYHx8vo8F}fkUmTN0)=v}TD z(X$geZ~tk+iq|Y58Fi}qRXcITTXa)J;Y`mr;s-j5X-RX5wI_|2|0^pzNFp}&bd^(> zKk1X+6XRs_sy&n^M1E@YajAYvd>{Du+*-;w6&b(mWrt2q$!3RObw9c$=B^tM9{*ms z@ptEQU4qL{Hw~+ujvQnYqHumfH(cWpBupiV94BBXkMad2=Hop5VxUn!?_TXEEnk;^ zM`TRCpWnX$Kj4SOyM8wfP4=EQMngZ3vbj}K zCcc)FBgm~JfZtntc>ite|Fw#bzg1lSr&av7fX2TCT#`h7%P;DK;7|Fh_*VD<7P|VYW3~=zdNy5(Enn128&D zfWoK*fBpB$|BruTq5t!D9N_ok#DO;Gy8)f1~`j0saR3@4rF!1J`}` z%2)E*IeCqY?mMWV9IXJ56PZq#qseXxjGz&hkw#AYAR1Xq}@5+9rl>`^7^uD&{`3>xZe; z^bV>t;J?u0@oL{uW-)YMW^+k=a{t+`0L6a-*%?I)u8=z{ul{W?8QcQ&*K*e&k$+FP zP0Q`r6|tx}YDvUrKRIuH7p$%qNF#@mq%(WXjg%pJ-nC7Q@n|P|yolwSc~NN@Refcd zt=1YPoPPtxClT{uGIh=Kzq3I9bo@5kpTbBu8dzY35Sb8 zM7(?&`5TbHCiHoto~zyg4niQThh7|o?FMV+*dQnerotfRt{%rhP@H0-0V^0v!8?;6 z52SeAgKHIj2aXg3e*-2aP-B9?MR{@siAJ7Zle{qRIEfd-ot22p*V*M1VmpsWLd98n#nXTR$(c{+m2 z6D3FG<9GDZ=NQfusHX?J3)VzevClr#7g7^)0bA zqSljqSteRjFTQPJ?IL_nq^ddl&0(-PS#92lECZS2CMR=UMdGymY9iL}mq*qyQdP&e zrgJs`;oi7O`dwIW%J!J<2csvTj|AA(*mdY1OZ?wdz5l*w=irqx;A|RyPII*IjeXmp1f+OoxEOkBwMtwf-(Hb)rRpjz z0T5SRyvN7Kt#>R8N%gB!Ks1x%{hJ~f4L=?iuNntiHgy*Q;Gc)6vG{&tyLT7K67#|W zg2{JbW}PVI`n>0h=rHdf?8}RsboB3)nbb%x5Fzu9JE(!57`}pdjjCchSW+In6;QI* z;M1)!3U2N=TW!&|KM@mJC2ejwO!X0tE{jHOg7P2aAk44IkcfGFLb^WCp=PG2DS48~ z@lca43@rutqMI(S+k8cB&~V|D!lMV-v2J4d4?*xl>Q0$J{SRfD5`j#5)B3NvpaQ03 zB~l<(0!SK~0Z&9ZQxH7&W>rp?O2f#G9?NwuQd+r3NVp#1+kbg@El$2GIem?g~Y=`A&yt zm;P3n=W}4H5FW-DTr(g`(tZE{;t);J3BhImj2JwiKv0$VGj|R0P$2s8=|3k#p;#31 zv}*GC%=wNZ7~XGV`^qDteMY|`qIxs9N9IW(50k_?Z@4?i8JtE-w?Rd9v$s*Cw7tJ=V>C9n2@f;y*vmdj*Mp#KZ#c&u6o2ojb*o$dbeQ7p zsPAqAn1g*Z>Mf8-QwM6R^&2gnWR!)Cv@iAFfR+F@w6ez-RhWAD;FqVt2sTEQ{yn`! zgt-AAt>*jLL58*D8=9soz)bjtKt+1gCybPBI5aijlH%iUK-S{ekZR*ehx_1kz}Ya4 z^iyTZ#IE&u$xhH?shcqRVG}hXF-G z?z|(0od2vHgZX9zo{P?-yMWG60yZU8Qy>X?T4CTav^uZ54(t$HTOVrH>y1C5 zw2F#51Gwgu*`6vc-InuLpKo(Qeb%k>Uhic+Me1)+Ht53OPkw%eddbZJEyY5bdv-G@^pI4a=uq%z z2j8X(MoFnEMM}O1l~Fj~NxWqtGEa{yhPQ7d+z-KC82@>sndU{4Gw>%R!r+`8loC4_ zk$Ph^mGc30Z{0~0`C|aRPfWat#caNIMB>|s{9%w)YLQ8e{PrP+zMu|OD2$2wK=SBl zja`9pi(1kzjr!0HRADx;j6PE{-Gitez?;cb0HP0Jo;M|v^DRo>PcrAV-xE@jHsi~u zwL_gH8RG#e^J)Bcu3tVYSBoODJTvRcTrU{}Kf2rF&=16eK9<6uqydzxI$&cAbaKc+ z|2Kz;bLhbNN&EPmp=R`Ojhx2zx|4}W46W*wk^$-de+##>gG=4_x^NEKCnrT6Q1m$a z1G)YNWCGHc$psugGZFz8Dwb!VMSQ<+!?5GYVi5a-n1vo}{S%(NUFzI0nvO*{W@C_Y zcm*;W^*p`IegE*`4c!|9!Zlex1ztR;`v!i#`?Lfxds=|H;sm(ZQ9NkK_!Ih;9vr~7 z5h$O}3qyl!-TVfUP!W#P(c1r>kVc!T+e8ySGRV|SCDaru1#k_^{S*BLEDOZ$7asfl zQ#>v8L$)6NWU^il1>RINAClVE8~})yDC8HhH+pn%;mB20%hV{Ca2eDO3PwGi5M1ho zmtgr+T}+m`!s&}r4N6>WXj?C6LmNy=QOZs~+LStfUZ1}woZdWZZrMIqo%!ewI~NuoGSbkL&~|+1^O9yNZ<}FaBBex zWdy?c(NZ>VgQ%^>A^b3~q$yUw>T`J&tDuD82n53xpgBS`{e(93-F^POE|_bSEPspg zMxj8QlS+eP;dmw?pv$IZF%?jl6mjVWLOsRx?8C(~hvXC0ZK8mU;>wU_{>m*Q!T~f; zutL-Q{3C*p{~{)y@LjZB!|a$%u3ekk+c_)##CsSjkuQ7v-s+S#`y;2~E^(;_M^~!c z#x?>;x6rfD>V6UXp2)Y)4Cf_2!rSFl9O^`N;C<&%5>?Z!jU7k`i{XVnRybjBh+sx!uBz9+6nI2Y~V^uPxv8G$)DLATNezMg1))AqE z0G3%0TeBAf=B#VnO{#azbwIG)5VG4JzpVF$Mi&L3Tv(hPEn6KKdpjmO8kBv+=ZUzb z%^KBbh~5|-OpUCatK*N3%ZNaBF1{D7=q->qUPwPQKYVBds9*qEB0MxTp%B>L)Qzs4 ztKVhPPD4-2V!6piRPmx*1g-`fz*9$ia`y{ea}a?Y94RDE)8x%bD@J0==uJNr!oTd12`9DM^74{W{&plg3_)5NFr4PXGQT4Qj59>#umBXe{;BL z$P#F+HLq=`IxnJU3%4ir=A7wvlUFH&8=Sm+^S2cWAIB)$jL7Z}6Jq?_`XOQ2N6 z(}V^j)bz^1JSofXEE6))49!a8@7S;Q$2+iZ*40$}>A07KffPUO`T*c0!pQWo7ggb~ z5ku??hWDXErq1j2j7wz8Lu35`&db^DtJd>_OUL6iNx;SRV6wS=BI(;jV_PV`u5nhH z^V%%W4~X*3nhNwGg?9NrtPb@LIShsCaO8$AtQGR}0kll*C8WUod-IWR@Ls_DBhj1$ zEMBtgF5YX5Dg3Rep?E^Y839au^5VInVF3Z8v~cp zMY8y|BB#r*RUL$1*(f>4^b(kQKT%LRnIhBH`SoDO-LL|pYTACi4MF&^BFMx`FJCRM zmN(vUMKINKOidaMx+mV6YQxx!hk)aXoQDHUKukRTGs)|@WaluyxGLFSWdD*lp$>lt zwolTzWBMU1hGgG|@7}JjI-!jvJuHpNx=sE*!`|23+@N?`(sUukG%S;VSMFUuEB(#B zL1_jzJ1`Ib?L*31%n8b6SkE#>?>pyy1rEDv>)P1%TQq=aCrgm-p~+ywxNFwQpEu!H z9!iX{LJenSG8T6|v8`W!su?zffE3$$#7F!*!V0Y>pB&e2c6b7ir{~$1^~Efr18!r1 z%4?xbPp1xnw*~BPp|SLjii>BbBA^(5 zIXMKy!Iy-m1mbfX*DG*Xk2?g_VW$;7y?A5zeD(DHBP5Lvfpo;Dp@w7zvL>JlkD|-x z(~#z?R>|kERWr7VR9~aTy@C4U$@=VzPROpK*r<8Fd`ybAF6QFoKa{Cc`-KC3c*hNA zGSQ;(ES%sM1{6)R2PLFJz#7Xi98eX$*U*WlT{%V*w$cYQE1=#4>-r4JzXTq*zeQon zhOLIzMg!$}m3r4x;>a`)Ioz95j}8ndV1UbfK~V^yr(gn5{ZFC1Rahdp28XO~5L59S zl2g$C42|~tjd9K!I2)Q9t8do{vwA=yy#r?NzEXm~f5G8UW;oxp^v0N0n~jBRfy|YP>bEBt*WgSM_^&z8 z*s#b$1g|Wk7mtj#zA(X@SJ^ACk9;zx2Hs(Ee~Uu-52*c^RYG@IHcQX9h z_9PJIkWXco6()U2Qyf3CXhJYS;}R;x{dmP=?0%u<#sLgjAEqlu^i5F`|F_C{%K^2_ z-DSF+D;rp0-;Aw=v-p(0hg z`Nu46VM-((+*H*Ur*_A9XJZ4=ysH78hi^7mUtIvOp3WM_y!G9gbvXbt#**@)8_*C! zV&K;_X=-P3|5UTIfnwNOiiJF0ju?o@N3%D_445NvbDd-Q#h;5T04b;nYar*8Ept5A*naN*ta7 zYhKxB1fV?s3trs~bEUXP7zvV?_c8p~IYn6pWc0ON#`Bx!?Xf$S$Hnxs`ZB{~-{0Tx zbb;LoXclmY@Bmbc#|c>{*}kY4igj6GC0{ul)d{|Ti^AQA2Gn_At6nB;Ip;+_CuG{t zOOptAO%jWMx44Xo$TBaLTg*==z@o=JH5J>IyvsLALN~jUzMlNsheI%q#cs=-dJV=w z4n44v--$E}ogzPEd8(3j2c&OEZ>2MI!h2?FmjO{1ogWcFQ(KUldIT_wC3C;5=M@T@ z$umgp59@7YM@S`a-8#=%0wz!htxggq+kgI=0j~K5m0ZiP&>%&CA+eilG!Rus__m3P zdf^W_EJm}d>58XXBuNnkbAaNisNS3anBnaZbn5^Yz~rZ?dl(;pSY`EiX}y^c769w$&1aVJh3@=sQ8ep)c0?yCAR|K(&TNOC9ogjoG%L}ws-kbY>40x% zLDcE%mAaXIhY?6qz!_AU4=k(QC4`-)NuS;?+Q?-T@Jg5*SX~VFm6=>wZ|C;T(B=zC zH?crhYo#ZYM#Ae1`rfwNHE8O@x5LKHza#zeF)EG&?dX0v1z385jb6jMgQmFvpAxQp z`PR|YBIJXS1;PHt_$qtMrc|D{!;auufcO)E<4S*kZAoUo%S#QZoJ%Z_?u#c{)TY+)n&IqQI>$w# zKZm45Bxi{mccP8}=i=fRFo(`}F17X(@R0T2>BKP{yO=AfgjOv)+7RD$8aNRGEGnC~ zyO-dA=DBl6e;6A=a;8Z@1vPp?linfkChh(Gy6R`ws3b9)`BV~v9Q--kfTwlZ+EGF| zd<#bGyx@7Dha$;slyfcZXBXU{`dW?KQqo|}XjKXXi z1v`TVvF`_%V_7hJ*a|;@eM8T8*vfI>Z~5Cc0E+I-0_rWR*~r#7)Uj?BSqNTca5$6V z3n7)Q2=ur}KpG+`i?@VXZaT3Yi%jvEf|0PpfkH@rs=om}*i48+d%-S1)($331{)l} zf=}Rl)N$t2uvU#x4FRst_HMNqzb{RzNWj;t`u;M~RNAp)U+5q^lb@b4$1680``d3i zKHiEK0vZT8{{SjluxE?qkv1rIYOFDLV2<4P(!{s55T8WEr@g_1-vjhkENV(&F;6dTC&s)Z=vFCy}ta}H&*fV-&SHQ5)Xf#OY zoOBp@2WTnd(8hhV!_W|b+o<~Vm8w5~+omtkNy#yAWKRijJA7Q8&u<<#-?{;ifqd{~ z;j#_&fVGCA;ZNgO%Q2S$Fsy56!a$a>3k9%htDvXk7Y~8-*%(%ucT7kYl5j6T6;ea% zzY@{vM=6-IHK7-s@wz4l@(P;m-UK>#UQPrlAjOJD>PDFe{RTV^41YHDvyofYyN%By z2Q5I5{n~(odMKzf3pa2Kw{6bhC+}YKQ-P;3e3NrJvr*tWoY%49ctCq^G3EiUR0r`yx4Yrd5?MARjp9P5#lcMYT-f z0SDkN?cL!?=eKQkk$;)AhKYwEO%L)ptOh{#oYfYX0cHJjh+p;q@BNj~f>6qW_w-q1hz1(~C<3 z&ju>WXxjU)zCoY%ZajNoT6@O~0@K^o510FlF=Ql@DbbJNlwZs0wf+Ibr|&+zr|ghk z=#j26@^~L-pwwhm^*~xK{1<2vW)iwo-U>_R$~4|XbK)}kJ;@BkCMm`(P(6i_khy$gNym>Bg*Z)n+_ILWGXK8OG0m=;K!th+EHvR0tE zw+yQem~emG7r-r^0tF1ehGdQLMdv!W&S%Rj$$9lT778bHGb%ta_>d5b2JY~@J7v_f zA1zqE7HTu(#uwo7q=V(xXh0saj8w_T2VEN9EMPu|Mqme;h^lVoH_lT(r!G|^Pl%U3Wkq_}oMBkCo2veD;!jFH$zuzj zXMA__fuY!~O@@6_DhmH_BjojM~02Ua~A`YV%{A+8aLlmfSfqe=PXYFAGI!ok4b^UE zmga%J;9oWBPbY-Qkgw)5sXi{7bYSca2WM|6+R$|HHD4TDY!@X!VaD9}6A4mOdVg1M zP1)!9f_nRObd@_Har=8^l>n6q)quWkq(sL~vRj$4cYMxcTIbrQhpfy{CSi=NFIhLQdwF;>to|L>NGw7=ggRF=nHuDC@* zI)={Zo!-u8dNZX*s$b=K<2gB<#Xgx?ssWw$f3G}F*c(Y+J}0PF zj6E?6wt&8fHtIq}_#lE_>GjBVK=FU*h%vbO)96=u# zF6NR6U{G2AB6gWcZ6@|twBn;^ovOuncbMP%0f>+5eUSI~k~hl$&4W`C0iUD!rX-@+ zVIpq)8lK9CPS_ZjQA74Ws|+omE1^N@CD@W>eqk8cR~wLz^)+X+?;6YBdtD4pVm!Ay z@B}q{;`2cj`Hh{GDB~p0hL0<0w61;rP6}5y{D-jy|C2QcNY**q+A;(h71SJx?s*?@ zpUmuWHWVcemu!CIg&u?Yx;OPF-^ly}MnU@(;OiOv=UJ*vM<+dVH)9x|KRFL;UkB$; z#0iI7^?C(?*ztYh#OL(9mPMzd1G)tHg%NNLHX(7Y5_HJGTj9d>58D7oh;;cAu2J3e zuRFidK{KEE!D;`!O0uQu@b(b@wOxYRJ+L^d>UbyvV`2SG=)lP@0X-fBY3%~_;NL3q z3k}Y$Z$lqVEz!@JxTw6;*h5f-I`-ceuX$?EItAuap-nKx=OrJw#HLn|;L0$b{AJ^3 zCmui|{vn6lJ_yi?-Y3_QzC>fmKvsWPZY~e;<7~#IlthpGqJaiAzCrp6~i8$RjpU+U@Z|bp;ls*kaf7? zEA_<2uPEVKQfO`r{$--P+`&ZG{nT5*jKz1Wy%>CY``t#4=mf;g>2NmH7T++qK|~(@ zT`Vx+fQzIK!21v&$8tpj^t&5ag4NELi3lxVrTPU2V&@qP^*0*7PVzv9yCR1pg;cl* zlHl6{rCHT^MS4juW`6*wfl1)<9Up5Jy&r&C?ugzg+}gNU1Nt%TYzWHPCPlali1g_t zjQ(9vjbY42WeGr%U9~<$ybUOCMECUWPJKBGut2%x)UW-sy?E}%b6WGH=YP7?^`=Y{ z@PV!wjOk4e>jhnqj=f0;mL%eQI$V%OAE5@l<>EvAJU#iED@=L2plx#hR;ovv z9eDe*7@>+~fPF+hHqKCZ4D{7D(Rey6H#?v~LepMvn{JfmT_WH$i*Qry;O~hrS_N(ZD@oxntt>FEAh(55W8cGA@R_EFM8|%lH{@@Qb zl=uhe)GW%Z?!&SrSt8>=$}&O^2E++>!Gl2xU|;+_;i9E@JIuQ95`~1R6OP@ttTmLj z*+{=bs5$@kp?8t; zCsStSz;Q%?fgrivg#>E&m_aF$tQ=y~W_p|OH|}rq>?^P33fqMtK2{yofX*0n*dyih z1MbWCd&2$|cP|3{SCM~?ga@=p4QZ1yqhiTTRj(xW~5N-vEnDo zposoxP0$O|r33XJx=$z8>a1l0Bxb;5|Epp?=>ZP5Ayur)KClY#%xs$aveHLug33)3 zAJ`6oUSlR?Y{>mwbj(4hS2L|Ki~ z0&ci^?nWUP8QW)CL$a>K#n_LeyHH4b9SV+~4v3%N1pVPaT|&Ew0Gbx@+ctb-3&2R5 zpfMz=Gs{x`MC+^lv4XsZ?F3vUp|r%u)@G;QcdD_9q}4vQAZwttZqoWjZSL$1{Lk9+ zKhjteXLK3iNf+fW!FJLnPREM!{_qD#&KG2Gggj^to^s;l+ z7vUqv{73kbISjmk!VfGZpg)OOscrIB-Mg{$bd*eGa(v>hcP|1?uQgKmHcl(A_@T}8Wa&}uS60foH)-bts&s3S3gp7tff@o zbrT{mcsS4mnI0#IpRYy2%h3setnfZ`|Eqz{>GYRVf&zQiFM;K&$1}!(+W_U7uuu4* zSh&rrFR}axFALcA)j>e5h`dFTG((o>SPcV#xvLSW3*Be@b%P%vIm&{tcV9#UJppCh zY5{$n2K9k-A&^IamfAYzJG>Z9PHOn)^>DP?0{w)WyQM0-PARxC#RWY?ibJ=*YIFl> zEAfHjolaXvwcTV0HPEQ3Ys79ZOu8$}CIQN}7eW!rn}Iy>$iYUv^dcxZG&}x2LDvE( z-@1>ba}fSViByzeUMW4%|5>Q!RsNP1*py?K7m`TVW*4_gCtZz;+#X z=fjb#h_%Zcmz~tl#hp!?zCu<0z!?fK78EU` zC9`2d6!@ln3(&Rk?{?7r*yFU%uKzkC|Fn=f0QPl$%hO-@0_NeJ0yS;ad#gDQ^UFA) zLm`->8fv<4yreuwQPOr6w#jZX0kX6dVTC@y3hqL>ZAYO{aJNtr+f)1lobyATfMSfy z2o@q=K&OU^q-I|p0^JpQW{n_rgZ>q33`T0wiXexO=h1(_jfJ-|A}}bL>5k_o;(sB6$QiN(|vBT~j zt%iP`OkMNq`I&}3f^&`LrQj}^A4LFR0Ohmi_*znMn8I&tx1E0L_69I>!bXQh192EW zB5>J2O;$T#Q;HbY_KEWIq>jN#^cQk_pev8~ul{Aengul0!(O|x;ss)>s3`wSka@^0zHxO2%P)j#koDL-y|q0IXtw0b>iEAUUh_aN(~#s?B{a;@)r`& z`vQoAfZdXCO)o>a!M=)K7A6M;Tl;Uf@%zL7ui@15l&kp**mi3xu82k#9 zfc#r!a9PBXq836{pEetE7hF?UYcCEJU58bWi6GNYp!0`nntq+AG%$EFpULF3dKl{H zgvM$TOKy57uFPwH15&VgtnZ@(0#i)TV*qZL$TAUt1j+hTqYYut9hX4Qxed%vpiAXM zOl>ql!fA)iea<&TfvcVv1inE>#_yE@!2jaRmzIFF1Z~XLb}wiC&N8^H!*V;}HRD_5 z`7*V>xi@0@36r_Rq=3E}Am{#n*n86@$8ls`@PC{2JEZloJNLA4s<>}CU3HC;xQi4; zQd~4WKe$TVB$6U2lCL&D=8@(V29h!qnbnz971`(9iRyd8Dx(w>-~{{w+#T)?0FI1o zu2PWj(Peypc=*0zdM>0cwhneRtG1%$AOcERqU4Sfp%V0Nr=kl)-0L}$Vjv%6VVu)b z6XOYk;0||*1^JK=***t>2ks$Y`#z6s6l?I@TLD)Gn<424PIkaedSJ#7BlT`wmqXnw zI7A1Y`skRijwLDNtEOO%irXzligl+&3*Pr|RSB0GoQld|BhDKr1QePzJIf2=bb)Pd zT%0JSgeV*nY-OmaIA+gPU5X!BFU6{*uX4|UL z;3p`DOPs{_>y}~@mj!m+a#@lM3w9Y$;D|cBki;wu!AVEz8AQmV{i5Zq$sL)8R|JY$ zaS;ZqovtNIrP*4ZP{%kA*TCMkfG-iGGjY3fN`!myT4g6UyF$U4RO~j!eO%jfEboF- zAHzcI;YSOn85d0Epe@>S;a)&WOp6N;i}ke^E&HX>Ft#kCt;jcEdpR?#lOFQS*%6yX zoR-MqRA}ICEfG32Ahj32kHc|SGPljo${MOd_E0Yfjfq!ggFu1+xe{|#!FdQ*X3DMtbujHhA#yRpa7A;?*|1A`O;k+Jy@;JJD_bNOU<@D*~($H3;n zIoG&KgPh7SWlq9X@lyz#HZ;#^Gr1llfvsnXpv|+G&;zY4tmcr?;JA)zi+arn@#$U! z{-|YUB|~w!^N_u&j;3=EiV!{1PdJEisF$}W%Oa5JVOV`4c*Zj24vdH zV8JT^mr(*j5ohl-=yHO&ru*<8T82#`;AFd|=Of%+xMy%)Y>neJc6E7VN1^JNupMk# zWYw*9C)5|gnNc-30T9yD^YwbVR=~7GMUIpa<&lYzlcR+h)2JPGk{fT6!+8uGaGDS> zKk}=fcTBedn?wCxkS@R-Gxb}TPaCr;s4WK0nkW-bAohWyUmZU?-n;D6w}U1pcqQ+( zlYnsSTu`0`tA&62!2g*2 zqCrVW6JS|R{lMTc`U3$LdOrj-L;Vnde9RPKDK-k?zrp`d_q9Q{Fd-9z3=0;;s3-N$s(+0-*!23H1L4uSuhjqAYuYcz>!(xK zUpVlKZ(j+#VD=dB|@35cu2ozYXu>JaB*n zxS!x-aKCHv=L zKd?xYggDNkVC>#lbEE%R^>4r9dQ48Q2f~veRsACgj)F%a~v@dnuBvT&s!TUa@S(z9CxS3%2@$b7!(q8_4J%Y5P@{;`hMF(E~o&vqp zwtv6f`tbKU8?!PC|Ngk90!*Z~19w*3@2+UT<+J~e{`JSaKD-FmC;6}R;I$E-sfiaY zBcR~6yd_8mUm!e+@{0wB;!A-bmMp=OD6)Kc!Dm%}^%b9qvKLAC=@sm6z2dW~UwOp~ zR!<nujUmu%a8G?WN?7o+e7Kq4peQmVo8-IUxlkjiwuYCX7>My8#x*Wvn z!>`{D@+Hk*l>Uq+;3v3c=A-_&;0e7?u+JX+V@WBvIN2o&%fxPj(*-C(FzBbBfddqb z{7=-rQRdf(ZNMO{+YIOrApqm|E2Lga{39I=oKy*Jzp%17`JGb<=vZ*|&ByD{;L_vU zC29ymg3iA@e0{@XoZpHbe?}P=Tr>?XSwn#}`zU(+a=?6|+MlxSX9MI;l$V!+_eZZ} zpZ)u+<)4wdNwXUYw_A0-cEQOaIDA$bwDH!=n_cpD^90=Q1Fp_Fb$U=GKWo510YT&Q z4`y=c!THa()_+mpwFi%v-roCKLg_t0 z`zXA9`25B9FTPzDjJwJ0$_h=Z@9@MG73<=JO!eku4%dS4e-|IuS(aW3yh?EmzE@yi$gFFGo2Yo zFD;~p%J`(GeqK(&>9+(HP$joDW$>Hjd=M)=Uw^aMr_u7zlwT^8N8K+Q{OtT!JNd!^ z>l$>?QEbnB{YD=APFv*@-+)aZemvY>bDv$S$Nh639GUsXROcAj8RFs-zwUqjcCGGaVi@KITv26xs(F9(+cjI@zW~>Of2wr*>$mG1+T`Y& zdDeRT{oD1zvIlqkJ*(aS{AR6h&X`w*eTP~3TKSiVeVTy3eEiM!0ZO-NCVu??1F@Hx z@fN&Z>bwc9mrCyoD={d5*on{3e3BzfC9L50T@K3j#{{fcN z_#>@I1d-G?EUB6ALGH~2BsyViP(zy!Vg9_*fMd4JepH(iI{ zmEDW({{vtL0@82B4)pSSu)|;2^6s!h?{*4C++^lUBi}!Ew~UduV}}v{QrLkLHEuGK zz0Eu74}%?O^><(gdig!rJ=yZ!<{f!ARTvaNN5729cR%gO@0oQd^P(f)w6c40<-H+y zpL4{`PPyfV--Xb-2kyIO9PwRN%9APY5;x?g=bo|Le@)zw7kTog`SxVWd&3PUZc6Sh zDN*zf;{?NBKzP%_;QK`7D_h6?9m zVK0i{-9z_XUNF$h?=dZ3+4A17yU#ilzs)-4#cF={(0!MQg1)SJ-Ztx=TzPNE0kcy4 ziMY$lr}g#jk$blEEvk-qv7O(B-1A-U4LR)YM!5wIP~>GOzkB4c?^(}bFWq|^a!;o^>cZVIx0PJoO^O-O3{*fbJ?5VdP_gw<>$&~ko8;0JaJ$K+LTS5nF|{{J>j_hid^!wzLxAT3e)7VAODKaQ$nU+nz1 z2u#q;?^%(*^5xy3N8a-IP~gf0=sjbX?|$mNGW1R8J$;{Vn|n{bygT$z5}*er;NmCd zWySRVv3vT;--6w9y1ogzCs*DZa?EYo-JO`!A0~3Zya@NV;P!nk%qLUc8*aCp1|ToV zTa*<=UR22YpL5S7g|}b_y7^t&?#Y*ThaPovW1{z*BId>Je*fT6FEG3byzk2?da~u+ zVRz3dx~CNVaUyo#ms0ectZ&kFPp-T>+hDppO}CeGWWI9t#^cD1d&T>KFfWXGee%sp z-|pIj3IDT?h%tf3{^7O%!}xw*8u}Iu^HS$c1OBDbKQ-QOC$#*B9uZ^ygx&ND_ytmV zUQFC~Zx}pV`WDolmEHu~v(|gV?Y<=n`~n%PFB0S3-5KQTs)il7VKU-_$KgPtGzq)z-IfK z*XbT1!(IYN?_OYn27gCn`nA^E(0jh@-J$o0kb&QStoY_L6#J&R_pJ3c^q$q;9eVU5 zI(^SvrC-+T?|<$+oBAg7p0(bF-m}_!Lk|PHqQC;-zBdZ|{v?jxJ$kQAeG7W8wcdo@ zYqfWW9!Ti9?Y_UI^?=`h81$Y^eG_`mT5m(|S?%4SN8GdNz;A#a@rSWFUz_?S^qw7j z8+y-b{}g)f*pmn@E%|Ww^nL%a(=Q%^Kcr8Qx~E6oHwt3UWb=2gfS!j7yk!oc-?vln zl`a3&9QaT0E~3~YANb~{qW&=c4$$iFSaxB^>omKaCjJ$-{cCLE9xb?|^<>L?!wwv> zcw007(N4^I?p1%&#Cz_#c$4yZzm~%tJM6aPdS*z!dy)Blr+Q*9E6TSa_acnm>8!)< zz7G0D4*iQ_hhi`JjBf%Dee&hKp$Bd)dtEI*x0Sqm^gy$}OX0n^1Kxz*>vo%e3cddf zi~Vaf?~J+K4`+S->Y2ZR`;pabrcYg$yLX~vEubLB2yW*ey@M|{9KTG>r|G!@HWX13K z@L%luJzRR0`7d@oS@C;5{1>}^50{>0{)=5tR{Wk1|HZD~!=-1LUt`yw{`Nn{8r(aW zbRUva{L^2qfB7Fj|M^egrzzWR8( zlo~}524N8rAt40+?+EhW|N1C(ZvUMF)sqi$S@wWn(4E^481p0Y;qU+MW`Oeb^7p^~ z71qx2H;7>mVlQ^kCu;v-2mKYcv#w13Jv*i6$5q(iO6(vh&p+_`Uw{7jr4u8#Bl^#O zLOu}S*YoECMUpuA;r0di0S7aYV3BnH_QUD*8BKio48vFg zg)srktN-(F0*x>%yP|lKU(g(}VwM=QUcbm)#BNSeV27+fiR zyVVZ%v^9Lo{)9DP>cs&t_kR8a>u0cs$ss%a^q2ql|Neje@*x8wz$GQ97pu&=PwVl^_G|jtkzHO1AqPW zmt)t}A7S`}Gb7;IH$VFZIQ><3Wdhsy*moE(2RUH#uIDTdm-|uY< z!gJsK^q0SUF+m<3i=*dz>b@=SZ;2x~DU%A^W_f|pA5r*g^?+Udta!TocxTR!;QC;A z3~Epb`Bo)St`5Im@c?Jl;ak-;?bj<;)mLj?I&cU5uh+cX2auUu&V3stp!mOit=pH5 z9{?vmA@kLae@Tc3w^VoEAf=_3-$Ux`L~p)BCKzuVDk0XH^`)qhdrv(7$=B{yyN^G);tq4xvA*a-U(A;Et@dw%?MXw`$rqvS7W0CkzA z)7NPbfCl!Y@ma}V()gqheixO`%l?9neeA371a#lmuFd_D!w<3kJ&ES`y$nD;e!OOJ zcLW!seo85See~L@$6Ib=APC5}mUICg;DpWI5HJ^Qt4pT@Cb@Z!UXb*uO3;jh)p zDsbQN&oGnqFaL0lXT>lJram)Em;C%9U0+KRj0{%uzrVelNYSuHeMSZr;6yoTau&sN~BvIy8BEx7`84}5o!SHCRxAh_k0ptpc8k-XfV*P!SUykNy0 zwoC8~a0C!KX#gzE1pF6dQy#YtFY*|lMLxgNYVo)j-Eo^4i#x$xCmpqMpD{~wIt+Zd zolU!F)XB$=n|>vU1jx4&p;f84Zb@eQs_vuHo=S^mQ`A9lYSgM}sHtlkXn+GS(7-U^mi%pqtr(8o zMHeTYMh9OD-lvauf=B6;GDNDLirG1LYcyWhK*cS>autpZ#fUK?Se~6+_7fN+7h7B8 zILz_d40#>|bz7L^6&T%3-ciVjJHf*~Ck0rux9O&6mWjGhpU>DhmgX-2!i)y*f_qw^cB=0CE0NnTFT5^ zh)kG!npQQz~f(=r}elk4|Qg7dJ*@Xv;q2Vb)=1hgB^n;89a?_D#VtTQ$n?EnvD3byu6% zAJCM5r4#OYUflY5fiUL-IzcdPq?5Eegte5yoN^HFEyU5#4sB~6imBO736dS4b{>;f zY!9lBcd5;~Ms5!XCT!!27O%C#q&0?7Lgh7r14Xp7XrB{%ZL7+cJHf=vot%}5v0u;Y z6gR51)g{P8bfFpVP)o&21Pw9bG|ZABBjGyUT0NqUrz|mq#n^P(Z0B6&SL|_a?*v0) z!vPWLx}v+trMy~PnO&~7Ey`65d&RJtJdq_cB%!gX&X{{P#U(k^XSiWnPdsDIJli5s zs7wgP_MM=V3z8$4PTlR|NOYxT+SL;Skl%zYLE_vOs=j4ye4}RiR{LY5(711$)pX)^a|Wd z*UqemR4IwYrO0jmI-B#F^6F^eoUuDWKMm=9%|MA5It08jglh&yR+M;HkB)xrGd!d@ zd%*}8EIbaIE+}jZzH;Mwf(N=;Ds*dA84?7vQIC%n_I2aW@>JA=b);wp!c6G6FN|Ka=rcdE8)g=}-Bw5cygSEvriP^1=S=1~vQUBl}j<}_* zgjB7BQ|sFk(7g2FZ1ObMK+~{25jK;TethwYp*&geJcrYYJm=_Yx9xjd)F_22*g=s` zLdM>^j9P(#@;2&Ygvp#Bp@R?7xKDWk(!9wx7u1<%rS!B0d~0Z=S1ou&L5e3ZjkQ&D zN>6dMBFKffPmBi-1-C)tDW-6ij8V1?#1leR77rOA<=J+Ax)Wp~UP96gfo3^AkOR;feshy0?yo8X zpek0*=y*AF)toRE;$$7`4^W7B<#h+SlXYbuLXM%bT~x;|RJc2R7PmfmrW3{8lGM6`|Ea2&I0KKbvtBH z(KNFy2cf7$elBG+CBV9$uDudSca)%DN_5BV6;XD^TsNNdpvBAh}j;`MW_xLBT>{?!VKBgYM%dbyazLj?duLbDjXTx7zQ zHF^vp-2-s!0n5}>coFyIvpdXn5hWsT)Lc#9?F-yX*?W5+UhiPu@T^4i{HB( zCF9Z_TlFlr+oQJ-(rhDRdR~pwHrFEUurze+6nJ%CxiFB$w5uYA#?^MJnlU-53miUP zSUVkXb0ysgid-Y}Cb=AX(_QPIYxz!Rf!GloPD+8%mbJi?`S!1dZ?oo3P|4ta%${n> zZ;q@roMQu_sDV7pTddFuCabp>C*Sqkv%b zSqv~|6y6F(-3ci0wZ)vwlu}}#=;u9{F3gUG%Oow=Feu7!@U7@|;am*T1#=wAJR9aT zEWNjIl3+nk8BS#lU@feb6cChq3Fg$+hs%9p!IYxE60VFAXE0-34Z#>v_8wzNDZ&lo zz)a@DXkSo)E(hgaG)!Y9&p<e7Y1hSwXD>4d|-V9anY6y@jc=R18@cLtwRe=){Nglo9J}NgS;NFWg|cU7SfDTglVu?D)WlK0#bXau+=%_z~)^qcw!)ga_AJxf9fu10V8?yHSTV4;$;btF%UC&)#2ZhP)4#^o;+~u8Mlh*@TC1I)! z6`+6y&=9VsDx>_q!J(7Wp~7Ltk)j;0UD&`-Xiw%`nY&$?9UI8L*jHfQmNg_P?+pidOTwqz1}wz5vA6Y2DOA>Fl%6$G|q}k*gBL>o^Gv zGVRuz07D|T=-cx?_v@%a%f)_ZY)iH`n^h?!JF_8L`_97>LNvLQPiYAU)2U{5a8YqvU5;pUGo`BgboDW4S1#GEpXozRV!6N!-tk>gxp@i-CbF?DofYI{9_uO@kN zaV=dQqg&p7uUAFG`Q(Xy09dBbhgbs6W@1eejUiaF^Bx4W>VMQJHsOQtzo z_24?0R}ttg53!?ZhWdxrTaFBAYE7oe#=$z`ZFwECcq?x=tMDjdEIRUxNrw2;7ENdab;2(1y+ zD;*SvLJYI%RVtG%TO6)2iHD}w^4cOWgcz=Rw z3W#4E3>2rH2r!E5a%@zjMcQK)$ZMz3yv)B7B!S&1^QmU62gq5ZY>UG+0#oq5gezDf zb9f6B*m@6N0PphI1fe{*OTlLwgm9oMd@>XRI_7X_059L2Ag3i@9xsnK=gP@_%sB=lR+hk98;r{$(yXK7)=j`Aif$K6%ic#|>6I_# zoZoRkUP9iTAn>XXs7#f5t*vo0tZ-};fnB#f4puNE4b3taG4TbL*!5GVtEuR(GH52F z;bK!Hh%HlxWJzW>?YMhb4(fJM$`pMdcO*gp_gr=@-2$@HH2~M_>E+c@Nowg-iCH$w z)m6*&u~}`IsMzzs+(Sf3SZ7BuXW+qp5VRpWBqi20qjAB}xv)#WZ4uq=r3N_N9O(+I z|C=QReLgJjU4D+bUwByBeM;8=dB>nGj>Slk-&DX?^R43dFYW|u&s#RW3$xQ{x~g~I zz)op)Fq&-C;2^tO6<3|}K?s@xUan@j1vZ}19+$PH?>dh)m33Sy4k8D^?lG6gyb`q< zOiZycGF%i3H`k?8eo{Bhg_AKpOx-;Y6JD`!+jKd$IBpyQe|fe&uFR8jko)tzxv&kW z^H@olf&`U~RqS#GGOZQl8pUa+1xMQ^s*blIbz9r3?V4JbsjH^Wq$vCnL|}z*MwIkO zX(az z<*tHkwBnA+@|4xCg_AT2sl!cDt|ZY)G!%bG>^z>jv_d0)WS0D%hn+o{h9ZoqUYU^$ zX;IZg&Hg&X{LEPT0Ex+Q52_gKL2MYaW^-H`XGMXfLL9dD7Vf)nEt{vKsHtQQ%w1Mj zO4o0D$#1$6?oYt<-NDW1the!abaZX`DAdI-ta7d@yQySHwVRdbG}JL{Hpa+#QS5+8xqqO%>hZM07)_UL!!{Mx+fBCU z(~ad>TW7I>m0jL?q+hD)RV~E}UZ9;S3S&5K5y#b7Y#rp2nC+zVf<6|)>3y3^qC^xB2NL)Gmyyyx_9~Bv&%(jQdl@c`;!D@~_)y$mbKs?^N zoIAaBm?ptysF{YPV_n6yvq$$X6-xHO+t zQYNm36(h*31i-&{so?%Gu%9S$cN8zbhT;uC{RUtg1oBp1QU?TI$XCTNyaS-~ux-{X z0esQzHKiGk9<$c0x=9s)^Tr3hTWhSX!cliMV_3lOb{0CfDKa?GoS0%H2o@j)*ytz$ z7Dg#}eDCriD^}AUOrw zBhjicYDmPPA6L6(o3NUeAi3;GlE(De>P+e4ZZ~yxu5(3go+qNcMms+>^kX(fk*Q{D zN*M11_am|Ay`V1h#phn2muaS^n%Lo+avkW4nn9s;75jSwc#(kroxLzl$^|5P#6rYUbY`Ms$cWoWC z-`2C9N1SxtC}h0d05@lzcO04??*u_StqNnc)qtVZizFJuYm;Y$-RH% z8GBTZ(MAn>6`9uv)QYZ|n~U5t<|x$<8n^Ow92h+FIsmr7i-YC%Y4`BKqVRN${k~21 z-L?-m>QYSP(Ve5s{d4x=Xo(AJ-mX>2F07TSAbaDp*)HW&R#F4 zdZ!_{TvM{2a*wGOE_xSu(T~--l@o(sLd!g@v1r)(=`<>r`QlI=T;w7%oT;Yu$|+ei zs~JXvcwkO?y1syTy%@2Bfu|44A+GemgjW)&7FcFZg@%s0Xh=d4E9AVtUZS+VC}nc+Z<#bcZy{)Mny~MCQ}VfUFTVrr02QYCzX0yq<*h)@9rlC)WCkVrYx0J$LzV z1i9N)x!pB;xV-3>bQW2JhbMm_9yh7o4i_s$&Vj)+@!G}nL%L(Ph06>65^2zU!5aB^ z?(r33j#s$KA2znE`?Pd)q8$r{PY>_%^_m&z07aL`aBQG@vfL9`ik9PKZQG6sm>9AS z9CV$O8C$BWIHz@fzx1RVqW3Oenz~$FUZHU=#U-)?QgV37sbo$$hzkKi8fzV{iL{|0xT!YBw4PKNMtXEu7NxMpg?S}6 zT#KspD5SVg#n{8s9;%mtGcP8?c^cy7CbLaK-X+i-H-&q zm9@R}fVVR^i5BqcULfDxY{S!{3?C@-d<>E*1WrG@Qv;kOVRZrq#2{`+%Ofb55nT>) z1y$J}nleW?1){k_br>O#?CpCzt#0_ZSvi&^=)1?jb`;L19Y&jc;<#n-9T^9Se5xhZ z+QQ{PHefaynh91^Gw!qorA3NaT6`+5Gccy(`(1QU z$_fZ=v%^>HK=E@Q8nfC}3yVp%mbxuFU~{lsuFe7(#C!rk@O=km-;rl6Ep-c zpp|})QOQP2Ilf)!j9_9^>df_0M3@;Eq{n7nl#p(;afgQC1=vh@hd@a_3Vm+$Z*BV^8qXp)MKD2YSBQmOQ_mzZABfy@c4q^?t4!OunG&7q1rjgytex!bB+0-s}ko%!|P7X^3P#|I$Xf#)U8P?BpD zh1z8sh4&j#*e+GUMnwoHVT7DU?%`=CtW}!eRH_qED_;bqHdMRQC5Y)rUnWrRsE0DG z%fN@N_|?Eivk6KwEih6vdCX?drAWwbdPJAwM{37uvtU99Dtx#oy3_8TLuyUJS|%Pqcu!lR$e5wL5Pk(nCEa%LU`tpj`tx4M7qm6#u7 zy0>p@@u+uVCy!7Gt9HwyU``^o9f>)X8qysmMl3P(M$uC+MJg1;GetK_tG z^kDU{U?+?}aACCG`L%W8M@odjJR2xwZ(&5ZJNtFo3{bh%tU!?uI*9j)!LDX8lg})X zxaJ~1=;Sdp$RI-=;}AoaP>CuO#_TIs+R^@HZwri_WRfDw4HQuwAa2*rekDG zk-ZB}g5%G{FlYm^gARtmprj5WSDDN1vHsM_ZguBjU=13`{G=VciO`MD*?LT4eOAhZ zFM7st8Imq(e;K%KELU%y3wU`a!5i&cpsjP{@y|w z&5va#Zr~w;HtUVztraQ+<{B0|BYJgN76iMO_}-}&;%KM`ve@AZCaN?~;5W+Jfuy3? zoPZH8QOHALcl6o9g&|heb)@=kjmu#DpkNfYfZ$Y1b^#XG$BBtTTNoWt%D@sAI~B#w zEj$x2B}rwgI-8W*9F~WB3+pYXV%uA#j!ECLU>%j&k> zC}3fd>{HtnvU;C24xB7vNFGtmBobIprU=QKt6VT5>_R6BR%p6v9*!X7#WAsf=cFie zrpHY3QWmk7Ua%rBgvs#CF&&AZf3~VaL(F>J!=fVd{H_;-`C?67guF0*# zoW@DyyxHbe94bZj#5>lY1nOEdI`%|D*dA`_;Q|K?4iSqs8)hQ+Ai_Gi1Ck1jbJ5iS z_z~`)MBOG>CV^QRMx=Si&N7ZNwPrW=F%H301^kIZv58 z*R}7CPG~Fv^A2>d~~&kaK13$&v=kKH{)I?9^oS3 zlBn3ku1GB(8@lM^iy0njxa4L*v%+F=p$Dlv=6sY`TW#6^Ic33G=&fmx`JxZ`f3Kk?*yUOio)dCe$Lo(ywtO*PPEzODEtB$<0mB3OJ4+9!Fq3UG} z_@uOtxlG7Ne#$R2zTG>=p4+lVtt0_TMVx} ziwv#hak85k$89T=% z(k;7DpaUrs?JrUtvWMkxN^EOIc4*5Q9^VWgmbqREAxQq6dEe3boy**uXjTBg^V({@ z;@8y?mast%m|GeqQ5)8*)&G>;jnDE>N&^+K5b%#S_P#d);t}V_sC3Sr>$dR|KhfY3ZoxRPg$C zeS}d6{%(u2mRufq*rInC*4-2*m z!lq=Zlb4)=zCT_2;-3|E9xL=Ckl{~Tgza;*q5l34_m@A zb|`V)E7WN`ZWv=VEwHY-JfddU$pcQYu0kBdiG3_tXXIkFDmv2}fsv=h(Uo6LfWooIP@I{%UG>m<65?Y08d|FRGbwg07~eu}Xa^M+$5KVW zVti@E5VUu%BwPm&ALF=o1e0}`J+L|~*NH>7yR)}gR&@VxNa_ayDTzZ)oq`60HG#Wu zNXobwb*Y&$m0X;;x0?!fwE!8+`c4#={kSU+DZ9Zd4Oa=+RKpIoL^<|G!V z+~c}cZ_p&)892eW3|KTZJ18I(0$#HWvjS0t>vSr`(L7pu?iJDjY*s*bHLPh3SpEg@ zX>|vMNptpiT6Q#uJYS^B-YI*3vl(Qs&qvK4Fc1L)zRV@$dk{Jb8(>u5B9yZVOT;S2 zA>-=qseR*-wOc(B8qMt%TUlF>*^tjzHrp2Psgz1lphqmERghg{>_RrtmNJ|}W3e`| zjG`fbmo$eUKZl)PFrGeu3`3*8K2!j2=}iGmCc-&2Bm@HZXM1TQ>+`kB?}Pd3Ptr= z5sa;`HX_KsUV)7bt$gy~3(IH;7tzKQCYW(bD`k0*M`m1uKGgOD6v`nx0mSFgc-F-U zs6*h3Z7#!=U30|&k=qW3_FcJ_wipD%*=ad~mGsC*F43?A$RcvqVAP}@)-wa$c5Y3 zvQN(xCGmHZ<6;G(Wp*#qMRPPkD(sdq*Ipwoq${!+XTDq=WAZrX@~Sh%aX}vKp|#!O zKn4jTwNbe;7pb{DF9xT1c$PXZB!V5BACFg@>Mea6opXrh?KSZ8@OcMo7aJH@3+uAq z^TxGjF6{t3V=O7Rnhu>jNOYZyCO7Lbm>*HIFo*W=u1#8USX!bhBHU7Q*hMXckY!|CCjQ)N=C zJ4!-3vy`qo`7sVp?)jYbro2+8lRl8A3Z#kXH|_w@VZ@k{h7fIb*9wgGU8h%^1f<0!g3{dK^iZEN=NV zCphDCRI&}-K-~}l35jKsp{}S}!nFugbmu)RhgQ#fHN4>A+Lt7sf>*%Bb)>3I4U8Gy z^uTT%kOfdXVQ3Hf0az@JgaRL{Cy-&JQWyO&=9itmfv<)C$@(&S5J%2|lZCa+c_FcW zdLHF)n(GoKoi04aTSsZFU^g2PMq(McTWHyZHzYsIx($T6Aa!)+BSXJJb9@J7ZEVMq zC`AQmWJto9ZqTA3nk-<=N_3;D=$6h)khjw!YJJ_M+9tZ5&LA`eGK10$hees)da$+F z(BtkQZRFH%){WgZLh~ym%|r`Lg%OTW=nKoytt!(jE^eL$j_KuQ zd_B_#2c!0TcRiF3odQyN+>X9@a|zW=LNe(3$`{sJO+4N`vq0F-7=MhoW+SEJY_Vr> zEWrNYgXu~iK-!YbUfYIlFO*#7%EOP%fW*G-i2`X5p{!x{7PwRvZ9GIc*baoD!{l0y zxZBk7*{ZpAERJcDVy-k#JW-$~bvaKB99>gum`L=IAt@2TnBw{zsApj3;^Nt528}Om z>QdDphpf8RBaY$N#7x0aDAZ&}>*6*GUCT#6ib}WZ?Mbk}=DD#)A2v1wP94Ytu!1`8 zggl%*-VTcSdbQO!J6N^i2p$z(5Qv*d;50-+7B7fR z+G#{Lv@*p<3P!@#3M_==h58`4gEs*&te3D2ENcssYMpflkb+O(ykD+1bmv#L^{Un{^)QrrZ0K9sjmF>+Pigj-RipGmxTW-A zeeTbu=jj5-HaZ@vqpB?)!=_5nT8S|*Wk(74cKCfdF0S!Dzh*fw8AyPwK`4qoRJ z4IgsLXon_sLBjeP4H(GKdm#a-+A7#n@{orh^qK2M7?+GkCXz6>AS$GK#)~4lIDQCt zb_wWKV|Ha8gYXI(^}8DE+*w*aqyQBw4x#NwBJ?0Q3>d!1vqdf!>~7W%Tnx4VLFTdp z8PunQ8Y8a*)3EEB7+&!9)YO(jQ+{g(t9T~pxV4qzwJ!INLtBsd@_>K@Z-)e4)eB#t zDp4Ml+9uAYewnW@l>jStzaI+}o59liBw~Fchgl3#00^QS%3@@}sVT5UTt)~!J~D!K zCzcV{6x_PK-d6RtZPt`sCnsf@b<#r8_KE`B)#gO5)P`17K7kyNF~8xtf=!NX?G3Pt zkW6p!r1cm!y~uA>Y;ieNb{ey6%=hU3*WQ&iIcjX_cSra?RNRP(xelVuzIE6=Aqj-` zeL)(}1DgwE++W^FgX7}}jq3@gvd-gSY9R-3I`(8i zw4~hb#(me)#N1kworqx&|Fm)-SS=`8mo~d7!|rn;@U%V%{EKJj zWFJ50v<7k*OV*6u^T02DuZ(CL3)Qv0aI^k^9-!N4km3vmr?S1+r}LP>H52?A^64rF zPIi)o&+ByDW!-6mtPUZ~0R;X=>}t8htwVu+1j; z!O2OQAF_HVm*$ugm^k@W#Q9i!gy7zTe00DGx7K}u+_D}ZTTYB++9E{5SuM%2s6`z` z7l&=%7zkY_#JkA8*!nXTyu)=T@8j!5>jdzfkdua8$OybV*=lh#4()?$DQTgRM|G2C2~98U!1U&vl}gFp?y`0cy!R(&K!$R{RsSl z+3DF$20L>%sJ1UG8|C1n5YR_w;47Z9&5or30mAn!T4wz;JrWyd)-iqhmsV%U_BTg-FZtBi2JO9${WNsUo zC3{63jU<8cU6lnFoT+>gc+5c4^#I(rX?;0IEW}zl)5A2wJ7|3x-2^#8^pVX_59wj6 zSkOz9n4{a=oeV~v?Vv?i2&j=1Oc#+g?n1V)t%~>N=Xf2fd^_(T?jm1~heD1>N%hG? z3Qi`B4RPJr0r#EW;zUv!xcoXY7#BGl3{;Xi84mjF6j-G|^$?#l{lea%5Stv%MB4OX zQrdHS(HPZ7GaiP+j`hf}&7RfTprM9hIYdRKBMZ#3Sh9+l`6}-_5YRRm^sU_R&y(#4 z;@4>qt36uNIbzqgV_DvfYb8bu@$zMs3Dv#KW8`qi(nCznSY%A#A%0OWOEZ{rhc!Js z4_X1ji+&H=FIrng_uN7gg}xd}t`hQ$4UzWMC;I_0$CS=S=niLlqD{=u8Q2%c+`_&n z{4AvQM`o3g`da7rnb_i&*dC7L=hO~o!D+lYaHA90h5S9{wuiOVEMG;J1%^^?_u1F^ zYOaUlaKRqHm^;VTSu~_JTVp#eG@{))=@TflO$_Ns7yN(%Y4>C^IwFs&5t1ax7NqXe z3m2pFychb~WsdAfa=9!L)m}{wM>9b&BaK&!WtgNqt}i_+zy>oEp{>KOS619{j=Qy1+J<3Q?YHx2W zG&t;7h2MiSs?0y5j6@KXVB>7Gg#=zts@^Y(C?2&V7*DFP^Y|-Rq-p15y44AdfpB8-t0u_cjvb%(-M{a0s z;fIsKU@u2n*wT&h9?sY9blev0zSu%>2)_b-JvXj>maOS=Os}WubdQpusf+07yd=dO zTgsk3T)P7d;r)3|Qf9thpLjgT9I#8!XB2~VkaU%0aexRJG%;4FRm(Qu5z;F*0Xbrv zpp4G9#}LdnHA!|ek9+;uaEvA`sSLY>v-@@?%#MzTXwVnoUZ^p6^7p_V51aI;fb(F5 zvKX!Hgp4PwK{3yPbVoqk64cy+c_$bskQ zVzD4|N6NrNTuTo6BBLM_-BpTA?MRbI1Fqhht@OUPZ{@Zh9eUE5HdXx~O6K$&Ul#pI zoU~ohFLQbfku6Ca>~sTGx9+4q>rGO0<)of%?gW$bx66q%+w+d$rE-3>#>d#3MLR0M zXUgn=%(9i#QP+~aIWpZMQjyS}h@B}e_8pXRoZ5QZo$jUiY1HA!V7_q@+Q$PhZU)&h z87`zb3K>NDUYS@RAVC+&WT5w299m-uAD{E5l6QtI( z^D-P~Z50y=Fw(0<*WX+W#-2>V$#K>NlWaV4Wo(^*<&ARM>x(n&EF*A%S{(v6cH5^y z6fUIBj@G^QbCmknKBx*qvl>c_Z5I;Ou?D?dBt48!6e-M?!dBgxT?=B%rPk1FnI3Nt z;%*YgD6>wxqonW72glY)%g9shVuTN76PQPID-k9Gk$|{bd*|m%UI>>EATb(s<_p#y z*z*GhpJ~X+U=f``iM9trun{QTkekNJWX}YxU^%VkA!lvZeR8ANI)tMqZg<)f`bTE8 z0=Al4JJjl|6^D+o2t0I(C0P_Hf6?V7*f>)!TtZ%p)86fv_D;~~QGKEZ$G;eyw6^ne zOWJ^o>SSOe`pj7`+&SU;opDRdc)2IGAX3vfXqGDr@k9W%x8sYs9amY$AS5#gMo8~HhvsO&zJcncB)@cf{Fplam&+5K06(4h}KLN%J zn(XovW2zY}>`Cv)ulN>m1U)mas+<16-Ng#tx9xpKqzJ?sb27I;R%XHOIZ*}08egBJ z&WE%!C6mcZy{$& z8dboxXhj4oq=SYR>2g@J^4#U-tKQHXUk}v zQ#n#7E)dGnRknPt5Q>rXBbZDe)e-#4InU0=)ME!-MHaS_%tonjJY(W+IV}~ww2p#r zV7C^Q6o^maVkQaJ@pye9)O{eFZfY)jdI z$2+T@mczwL@#GN%YK})#x`;S69YjeFRJLwcmJr?c=$zaw34eT8?+_&$E%xU&L@mJa z&2gw&;FRYP!ZW@x8RAGWu$`MaKomIoD@S95%958}x0ar=BkZt~c6jV#ZX^ zg|&C`*0ML-@r#2__Lu5Lmr`D}-6K8}&E?`GTJvevI@VmTBY6_|v9)1ylMb;r8G&ag z$XLiO@@IWsRw#o^MrQ+}Hp=XvX_oF)S1Yb-q_l;ETX0_&6J4#hFK`}C893AK+;uz+ zy|6RMk!(P5+0nCD*Ip}!+?RTzlt&k|6HGy}oDI4$Vs2x|g>+dg2Mi9mg_Qjw*w+GQ z*y;yhF~&lSkg+qUQ{_Q#sGUUwqASMQ%!j=j;#X$=)@Oo|vDFc*ow+7tEW8YS428p4 zZ#lqcI5&Yz7}ZK~d_g7%B0WxhY*Fy6x#z__EsU3tG$WtShUo0Fgjk1|rguRbqG7Nl zWlV%&0aDi)W>SG0;5?f?CqkR;1-xaciSQeuImGFLnKtcqc@DbII z&Y%=w>`-!ui;EvUHx8!b(Z&kfs=UES!5*zu$R$&&2oM6Kd_MO(kqV1RKJOPNXM7ni z5|Eh{ewWQyFb<>H4w4O6^f3i(im^vWX~1lB#o7ZBZh>9a5S2$=@}u}z|^7SkwlX0--$_1sbQ#x&!d2)Ru~^U6$+GXK4?nTsK>*c7J89R>MI^dWelW zBu(x|5yKl>9^|@C$DuFQAeJ7lBKGU>1gPKg6^>kW1woyvw*nBYP;9j^X?8VU=7UVL% zz(;L*5MW$H?M=9fhZ~ZW2sk&eQfgT`WLE$a{C3G4cu1Pg_G%h3VOv{3fQ+*-PNzkh z>|Ig8RVqCyJ~uhRq(t(?4#L}KdlJtSo9`zuNeQrwT}*|vho0ZEi&cW2k&RPNY!UKY zlS3AKD)R&9bna2#Ca=XYX%?Y2A`md zCG94{+QWAeY#Zo+whxg4Gnvc z+3ZVqy_bPlFZ#uP&uIcTb|!KiSL(be(%X9 z4cUi3zM34l%u75Te_TLe1*^=C-EovS{uD&MfB0A>%6|3!Z79#}r;!C|`xQsK!lohC%(TL-(wz z@f^b*KClX_eK@cb^+91M;sdF3n9f2Ji*C@@SG;KcRo8tlP83fwuERE14KzL&{Xqv8 zjSrymWk0B@#(rRF8oc8;k|k8`+V6|DU-c^(;8<+vpp0;<4F7==#YUOI{@1@Dym0iM&TcLKSJhMB?j-gP2_&O6S|;oV z|3Iqxy3@~FeYzU`~L;*AKSj(3|yVbpZZ7>e;(j! zI6q&A*H!)X!hK%R*U}uHFW!Ill7>1VFrU0r7ulI!;5?$NewWrkW;e8(uQ2J-4KxKl?$KTem5yiiqkKmT%#Kvz|9vJ_20KODOzm<#p zx4_CRDCGECU84vVQj|c!qDTpjR+t)3f5(6N*$&L>=Gt`s$`{-Q@q$fUxl9*lS-Jzx z;B8FAak1TQ;bf;vQ5~KVX&mcZU+|*ocVF>hE4%K5&#&Nr?-ehazVeDIRt*rJ&ztfi z(R%av{Kog@<||Y1mlyS3J}%3WN@)P4rAqpK+8XLF=y&elM*UeY&&5HY;L_{$K|b&E zi>F`o3G^(Bflg*nJR<&7SNwi);p-=RO1!aROLdG@qZ;a`RMTzl$FJP=6I69L7J@}J$^AS>Z_?|C7)*CJK@CF2dhiBZkz9WG4dB(mAhGq zLRnSkwE`z?#eDHJjIkW%+qhiUOQ5=lmrNAb_%sFq1&qf2hlOFLkpH)&r$%G%W|EdO}<;_Ak?+rqpn%O5Qh zn0)4^P5rMY;%6L#V%7Dheg4R|yMk(4fgf8?V&{1d*UfL2zumM%pbOiF@_Ahwd&wT1rr%=4#+pvI@lxIri zcc0sVt<*pN?qkohkCh zLbCE&RFpoxxctiazv#pDb&xZp_VcG#|NQ+{NhxB?7E>ruwR~<_UHbi&5$G=nx>it1 zaO~4x6K1{`qZt{cZl-Bf|NHmfr8+6p_-mR~|NLgFZ?2fzVBR2B-Wq?2*z*GX^71#w z2R+sE{{I89>&mzXuWOsT(7HByEUaLCJS|Fn<@-SEHs$|~@w)QCKQm-Ep#LO`Oe%N! z_p;2cd(}OQ>`#YSExW#tMfQIP*bV2$<790Ri~h`O>YP=6Q{!QEE10z?i zIhF(tBx*L9nLa<9erg5kB?nxK7^LsU4!-g&*pXMVJREj-C8rQnxo2Jn`S{qC9wYZ-M^Jw% z>>#5?xo7g_x?|oAb};HUUUsuQs8F2kuQPj(U@n(h%h#al=YJ*VuCZoVa1v{p7Co))3{9u2!{uVhN~CEoCkKj)%rw!OO+-Hj@bhFqlt!`V_k zA&4s@czEdEqy>Yoe2Zv#Bg>;Pe<@@U9`SSkHV zRr_TleLXyK%}DQIb<|aMz7M(PU5|zwQK?Z%qXCXxr}D!iN4(`cM_fPeKI9szJQ{Kk zm{Kk}x`eJVCq6uMRXEMv8nEX3?!&HW_HfvNt>@W3j#F1&@c7s@wwrr)%(ofHZ)ABm z>}U?KD|gJsU*PePqp$MRJ;=Rj!)%E1Xt)u0W$meeyMEcj0|$eCgVOnJtgf-DKOAzE zPYPKHDa7^6^Z3xc$tk5Vbl=D68nQeZb~w+&Zi%y{tp}&y53A#^a{fIVCVb{wPUJVb zJREv->BonIDihFaY?lwe^lk*b3%y47dEeS==<;yr;WVHJ3$XnwcRevZK6Z_+{2uI@ z<$4!%4OJcuIj&rGl@gPAHkLjqbv&Y4vEhv87QOe!#W=-KYzjk=}z_)95bTnpTg7TkQ!_ z{+3#&_oKLIhI$Wnw-3Avyj!z}Ll1)OORZC7AtSB^q=(-yVZhHKOSxuF{R8SerQZ|L%9=t0%8+iAH8D|vYIVAyYBcvp46UFhAyZT=8?{|bry zwLUAtlyzyARV|dN^vlcgJHJ3Z=Z{c-O;0r=dM?O16S_}O`Y}?X;t6MeF2h?td+OPu zU%yT;VlA?Qs%UL_qrSQjx}Sc4cYg%G0@p~C@1{;|?D>E6k5^m`{%Dt9xBu%UU(@6} zSN{vy|Ri1YQW?~&_=U;Zl(pzffN zJQ<$;>)+0Q`>#(w{s4c*Nn|MQkMNhT+CP#{*i-rcUw%QWLc~yRRe+*_e-}QMMX7Nd z<1ikhF&e?h|HQEW{HcD*kN)0*=EhUsaZ>0|N&M)E;C{xQe*62@f}YRuZ$JHnj(z== z&M4@Ns5`sLLC?K?B?tY4uB^l{{%&~(zb=IhC9xI5@jr-@pFVxMegu8{h%v}Mx{@eQ z1YBF7)4=drS}7|%5ug$*FU_EA;Yca`G(ne8R<^9tZ5q|p_zAC`D7`4r4s_!9=IteQ z`)67S|IJj7cCF-p&?PGQEC2Rn4kfF9`~iEy;iviUiJ@tdeu5js6H(tF2%h6$oaKK{ z-t#q%WjX40jlT9ma4Zjr>zaql;XJ>9;GjhEi+%tPs6re4_yd4im0c!S3|;ts@>CLP zf%bu#PbKj1r|{pubXkn!d4UmWvCXz9f$I=lo2RgrfVIiCfPeZ0V_U31GNRDt$rb^3 zaFJ+}omQLRyKEQ3KK&0mi;V0E#~Gl)4{=#VyCwn*4PtkG{NX?TL!5Sh{NaBAySIA# literal 0 HcmV?d00001 From 984ff32ecde4624ed5c2251250ae85c029265a52 Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Wed, 15 Nov 2023 14:50:08 +0100 Subject: [PATCH 12/24] user abort ignore for upload --- .../GaelO/UseCases/ValidateDicomUpload/ValidateDicomUpload.php | 3 +++ 1 file changed, 3 insertions(+) diff --git a/GaelO2/app/GaelO/UseCases/ValidateDicomUpload/ValidateDicomUpload.php b/GaelO2/app/GaelO/UseCases/ValidateDicomUpload/ValidateDicomUpload.php index 9cd32bccc..ea914e440 100644 --- a/GaelO2/app/GaelO/UseCases/ValidateDicomUpload/ValidateDicomUpload.php +++ b/GaelO2/app/GaelO/UseCases/ValidateDicomUpload/ValidateDicomUpload.php @@ -57,6 +57,9 @@ public function execute(ValidateDicomUploadRequest $validateDicomUploadRequest, { try { + //Run as a background task even if the user leave the website + ignore_user_abort(true); + //Initialize unzipPath to avoid null pointer in catch $unzipedPath = null; //Retrieve Visit Context From c8f77a3335293d4c252f2a7915b094fe972a8b19 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Wed, 15 Nov 2023 23:40:03 +0100 Subject: [PATCH 13/24] enhanced radiomics --- .../GaelOProcessingService.php | 9 ++++++++- GaelO2/app/Jobs/JobRadiomicsReport.php | 12 ++++++++++-- 2 files changed, 18 insertions(+), 3 deletions(-) diff --git a/GaelO2/app/GaelO/Services/GaelOProcessingService/GaelOProcessingService.php b/GaelO2/app/GaelO/Services/GaelOProcessingService/GaelOProcessingService.php index 29bc99d21..3694a29c9 100644 --- a/GaelO2/app/GaelO/Services/GaelOProcessingService/GaelOProcessingService.php +++ b/GaelO2/app/GaelO/Services/GaelOProcessingService/GaelOProcessingService.php @@ -38,7 +38,8 @@ public function createSeriesFromOrthanc(string $orthancSeriesId, bool $pet = fal return $request->getBody(); } - public function createDicom(string $filename){ + public function createDicom(string $filename) + { $request = $this->httpClientInterface->uploadFile('POST', "/dicoms", $filename); return $request->getBody(); } @@ -154,6 +155,12 @@ public function getStatsMask(string $maskId): array return $request->getJsonBody(); } + public function getStatsMaskSeries(string $maskId, string $seriesId): array + { + $request = $this->httpClientInterface->requestJson('POST', "/tools/stats-mask-image", ["seriesId" => $seriesId, "maskId" => $maskId]); + return $request->getJsonBody(); + } + public function deleteRessource(string $type, string $id): void { $request = $this->httpClientInterface->requestJson('DELETE', "/" . $type . "/" . $id); diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index 593130d14..84d64602c 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -113,8 +113,16 @@ public function handle( $maskdicom = $this->gaelOProcessingService->getMaskDicomOrientation($fragmentedMaskId, 'LPI', true); #get Stats - $stats = $this->gaelOProcessingService->getStatsMask($threshold41MaskId); - $statValue = ['tmtv 41%' => $stats['volume'], 'DmaxVox' => $stats['dMax']]; + $stats = $this->gaelOProcessingService->getStatsMaskSeries($threshold41MaskId, $idPT); + $statValue = [ + 'TMTV 41%' => $stats['volume'], + 'Dmax (voxel)' => $stats['dmax'], + 'SUVmax' => $stats['suvmax'], + 'SUVmean'=> $stats['suvmean'], + 'SUVpeak' => $stats['suvpeak'], + 'TLG' => $stats['tlg'], + 'Dmax Bulk' => $stats['dmaxbulk'], + ]; $mailServices->sendRadiomicsReport( $studyName, From 1c7813c0b5401f8691a8eee28121eb6163e0eab6 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Sun, 19 Nov 2023 14:21:44 +0100 Subject: [PATCH 14/24] Sentry notification if failed job Pixilib/GaelO_Issues#160 --- GaelO2/app/Jobs/JobQcReport.php | 3 + GaelO2/app/Jobs/JobRadiomicsReport.php | 3 + GaelO2/app/Jobs/LoadGaelOProcessing.php | 82 ------------------- .../Unit/TestJobs/LoadGaelOProcessingTest.php | 31 ------- 4 files changed, 6 insertions(+), 113 deletions(-) delete mode 100644 GaelO2/app/Jobs/LoadGaelOProcessing.php delete mode 100644 GaelO2/tests/Unit/TestJobs/LoadGaelOProcessingTest.php diff --git a/GaelO2/app/Jobs/JobQcReport.php b/GaelO2/app/Jobs/JobQcReport.php index 46dbed014..4ac8f2ef0 100644 --- a/GaelO2/app/Jobs/JobQcReport.php +++ b/GaelO2/app/Jobs/JobQcReport.php @@ -163,5 +163,8 @@ public function failed(Throwable $exception) { $mailServices = App::make(MailServices::class); $mailServices->sendJobFailure('QcReport', ['visitId' => $this->visitId], $exception->getMessage()); + if (app()->bound('sentry')) { + app('sentry')->captureException($exception); + } } } diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index 84d64602c..6f4c70f3c 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -226,5 +226,8 @@ public function failed(Throwable $exception) { $mailServices = App::make(MailServices::class); $mailServices->sendJobFailure('RadiomicsReport', ['visitId' => $this->visitId, 'behalfUserId' => $this->behalfUserId], $exception->getMessage()); + if (app()->bound('sentry')) { + app('sentry')->captureException($exception); + } } } diff --git a/GaelO2/app/Jobs/LoadGaelOProcessing.php b/GaelO2/app/Jobs/LoadGaelOProcessing.php deleted file mode 100644 index 056b4ca81..000000000 --- a/GaelO2/app/Jobs/LoadGaelOProcessing.php +++ /dev/null @@ -1,82 +0,0 @@ -orthancSeriesID = $orthancSeriesID; - $this->processingName = $processingName; - $this->onQueue('processing'); - } - /** - * Execute the job. - * - * @return void - */ - public function handle(AzureService $azureService) - { - - //If ACI is not running, start and wait for initialization - if (!$azureService->isRunning()) { - $azureService->startAndWait(); - } - - //Get IP of the ACI - $ip = $azureService->getIP(); - /* - Bus::batch( - [ - new JobGaelOProcessing( - $this->orthancSeriesID, - $this->processingName, - $ip - ) - ] - )->then(function (Batch $batch) { - // All jobs completed successfully... - })->catch(function (Batch $batch, Throwable $e) { - //SK TODO : Something wrong in the batch of job send email to administrator - Log::info($e); - })->finally(function (Batch $batch) { - $azureService = App::make(AzureService::class); - $azureService->stopAciAndWait(); - $azureService->isStopped(); - }) - ->allowFailures() - ->dispatch(); - */ - } - - /** - * In case of failure of the current job stop ACI - */ - private function failed(Throwable $exception) - { - $azureService = App::make(AzureService::class); - $azureService->stopAci(); - } -} diff --git a/GaelO2/tests/Unit/TestJobs/LoadGaelOProcessingTest.php b/GaelO2/tests/Unit/TestJobs/LoadGaelOProcessingTest.php deleted file mode 100644 index 2a330afa7..000000000 --- a/GaelO2/tests/Unit/TestJobs/LoadGaelOProcessingTest.php +++ /dev/null @@ -1,31 +0,0 @@ -artisan('db:seed'); - $this->markTestSkipped(); - $this->azureService = App::make(AzureService::class); - } - - public function testLoad() - { - $load = new LoadGaelOProcessing(["a97f5e66-bbff00d4-1639c63f-a3e1e53a-d4b5e553"], 'Nimportequoi'); - $res = $load->handle($this->azureService); - Log::info($res); - } -} From 1e98a489f8ed4bbef70756e1692407bb537ddff0 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Sun, 19 Nov 2023 16:01:53 +0100 Subject: [PATCH 15/24] sentry --- GaelO2/config/sentry.php | 3 +++ 1 file changed, 3 insertions(+) diff --git a/GaelO2/config/sentry.php b/GaelO2/config/sentry.php index a4027dcea..693b9280b 100644 --- a/GaelO2/config/sentry.php +++ b/GaelO2/config/sentry.php @@ -14,6 +14,9 @@ // Capture Laravel logs in breadcrumbs 'logs' => true, + // Capture Laravel cache events (hits, writes etc.) as breadcrumbs + 'cache' => true, + // Capture SQL queries in breadcrumbs 'sql_queries' => true, From f4547dcd8d61547583ae42957b4acc5337a11a56 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Thu, 23 Nov 2023 22:16:42 +0100 Subject: [PATCH 16/24] explicit exception if file not found --- GaelO2/app/GaelO/Adapters/FrameworkAdapter.php | 7 ++++++- 1 file changed, 6 insertions(+), 1 deletion(-) diff --git a/GaelO2/app/GaelO/Adapters/FrameworkAdapter.php b/GaelO2/app/GaelO/Adapters/FrameworkAdapter.php index 65c235000..03d8b6c92 100644 --- a/GaelO2/app/GaelO/Adapters/FrameworkAdapter.php +++ b/GaelO2/app/GaelO/Adapters/FrameworkAdapter.php @@ -2,6 +2,7 @@ namespace App\GaelO\Adapters; +use App\GaelO\Exceptions\GaelOException; use App\GaelO\Interfaces\Adapters\FrameworkInterface; use App\Models\User; use Illuminate\Auth\Events\Registered; @@ -47,7 +48,11 @@ public static function deleteFile(string $path): void public static function getFile(string $path): string { - return Storage::get($path); + $file = Storage::get($path); + if($file === null){ + throw new GaelOException("File not found in storage"); + } + return $file; } public static function sendRegisteredEventForEmailVerification(int $userId): void From 6ee401503000adfa77605188cb50f5eecda744ec Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Thu, 23 Nov 2023 23:10:43 +0100 Subject: [PATCH 17/24] force object for empty response concerning associated data --- GaelO2/app/Http/Controllers/Controller.php | 4 ++-- GaelO2/app/Http/Controllers/ReviewController.php | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/GaelO2/app/Http/Controllers/Controller.php b/GaelO2/app/Http/Controllers/Controller.php index 203e1ce92..4501c58ed 100644 --- a/GaelO2/app/Http/Controllers/Controller.php +++ b/GaelO2/app/Http/Controllers/Controller.php @@ -11,9 +11,9 @@ class Controller extends BaseController { use AuthorizesRequests, DispatchesJobs, ValidatesRequests; - public function getJsonResponse($body, int $statusCode, string $statusText) + public function getJsonResponse($body, int $statusCode, string $statusText, bool $forceObject = false) { if ($body === null) return response()->noContent()->setStatusCode($statusCode, $statusText); - else return response()->json($body)->setStatusCode($statusCode, $statusText); + else return response()->json($body, $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); } } diff --git a/GaelO2/app/Http/Controllers/ReviewController.php b/GaelO2/app/Http/Controllers/ReviewController.php index e3594384a..91d9c72ed 100644 --- a/GaelO2/app/Http/Controllers/ReviewController.php +++ b/GaelO2/app/Http/Controllers/ReviewController.php @@ -300,7 +300,7 @@ public function getAssociatedDataOfVisitForReviewer(GetAssociatedDataForReview $ $getAssociatedDataForReview->execute($getAssociatedDataForReviewRequest, $getAssociatedDataForReviewResponse); - return $this->getJsonResponse($getAssociatedDataForReviewResponse->body, $getAssociatedDataForReviewResponse->status, $getAssociatedDataForReviewResponse->statusText); + return $this->getJsonResponse($getAssociatedDataForReviewResponse->body, $getAssociatedDataForReviewResponse->status, $getAssociatedDataForReviewResponse->statusText, true); } public function getAssociatedDataOfVisitForInvestigator(Request $request, GetAssociatedDataForInvestigator $getAssociatedDataForInvestigator, GetAssociatedDataForInvestigatorRequest $getAssociatedDataForInvestigatorRequest, GetAssociatedDataForInvestigatorResponse $getAssociatedDataForInvestigatorResponse, int $visitId) @@ -314,6 +314,6 @@ public function getAssociatedDataOfVisitForInvestigator(Request $request, GetAss $getAssociatedDataForInvestigator->execute($getAssociatedDataForInvestigatorRequest, $getAssociatedDataForInvestigatorResponse); - return $this->getJsonResponse($getAssociatedDataForInvestigatorResponse->body, $getAssociatedDataForInvestigatorResponse->status, $getAssociatedDataForInvestigatorResponse->statusText); + return $this->getJsonResponse($getAssociatedDataForInvestigatorResponse->body, $getAssociatedDataForInvestigatorResponse->status, $getAssociatedDataForInvestigatorResponse->statusText, true); } } From 4cb0426480e6c9d6abc839371c4f5fda43d835c9 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Thu, 23 Nov 2023 23:14:01 +0100 Subject: [PATCH 18/24] fix response --- GaelO2/app/Http/Controllers/Controller.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/app/Http/Controllers/Controller.php b/GaelO2/app/Http/Controllers/Controller.php index 4501c58ed..fa10c4879 100644 --- a/GaelO2/app/Http/Controllers/Controller.php +++ b/GaelO2/app/Http/Controllers/Controller.php @@ -14,6 +14,6 @@ class Controller extends BaseController public function getJsonResponse($body, int $statusCode, string $statusText, bool $forceObject = false) { if ($body === null) return response()->noContent()->setStatusCode($statusCode, $statusText); - else return response()->json($body, $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); + else return response()->json($body, 200, [], $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); } } From cd8c3c5da61de561aba72325c17067337ca25888 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Thu, 23 Nov 2023 23:15:15 +0100 Subject: [PATCH 19/24] fix --- GaelO2/app/Http/Controllers/Controller.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/app/Http/Controllers/Controller.php b/GaelO2/app/Http/Controllers/Controller.php index fa10c4879..cc48c2975 100644 --- a/GaelO2/app/Http/Controllers/Controller.php +++ b/GaelO2/app/Http/Controllers/Controller.php @@ -14,6 +14,6 @@ class Controller extends BaseController public function getJsonResponse($body, int $statusCode, string $statusText, bool $forceObject = false) { if ($body === null) return response()->noContent()->setStatusCode($statusCode, $statusText); - else return response()->json($body, 200, [], $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); + else return response()->json($body, $statusCode, [], $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); } } From 1921da7109bbeabf918d68551a7edf1853723f74 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Fri, 24 Nov 2023 00:28:53 +0100 Subject: [PATCH 20/24] empty object as array --- GaelO2/app/Http/Controllers/Controller.php | 9 +++++++-- 1 file changed, 7 insertions(+), 2 deletions(-) diff --git a/GaelO2/app/Http/Controllers/Controller.php b/GaelO2/app/Http/Controllers/Controller.php index cc48c2975..c9a7c03de 100644 --- a/GaelO2/app/Http/Controllers/Controller.php +++ b/GaelO2/app/Http/Controllers/Controller.php @@ -11,9 +11,14 @@ class Controller extends BaseController { use AuthorizesRequests, DispatchesJobs, ValidatesRequests; - public function getJsonResponse($body, int $statusCode, string $statusText, bool $forceObject = false) + public function getJsonResponse($body, int $statusCode, string $statusText, bool $emptyArrayAsObject = false) { if ($body === null) return response()->noContent()->setStatusCode($statusCode, $statusText); - else return response()->json($body, $statusCode, [], $forceObject ? JSON_FORCE_OBJECT : 0)->setStatusCode($statusCode, $statusText); + else { + if (is_array($body) && sizeof($body) === 0 && $emptyArrayAsObject) { + $body = (object) []; + } + return response()->json($body)->setStatusCode($statusCode, $statusText); + }; } } From e5504985ec079b61f4282731e738b18a4046cc07 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Mon, 27 Nov 2023 23:31:47 +0100 Subject: [PATCH 21/24] radiomics emails destinator choosen externally --- GaelO2/app/GaelO/Adapters/JobAdapter.php | 4 ++-- .../Interfaces/Adapters/JobInterface.php | 2 +- GaelO2/app/GaelO/Services/MailServices.php | 8 ++----- GaelO2/app/Jobs/JobRadiomicsReport.php | 24 +++++++++++-------- 4 files changed, 19 insertions(+), 19 deletions(-) diff --git a/GaelO2/app/GaelO/Adapters/JobAdapter.php b/GaelO2/app/GaelO/Adapters/JobAdapter.php index 6c101e7e5..e911ad7d7 100644 --- a/GaelO2/app/GaelO/Adapters/JobAdapter.php +++ b/GaelO2/app/GaelO/Adapters/JobAdapter.php @@ -13,8 +13,8 @@ public function sendQcReportJob(int $visitId): void JobQcReport::dispatch($visitId); } - public function sendRadiomicsReport(int $visitId, ?int $behalfUserId): void + public function sendRadiomicsReport(int $visitId, ?int $behalfUserId, ?array $destinatorEmails): void { - JobRadiomicsReport::dispatch($visitId, $behalfUserId); + JobRadiomicsReport::dispatch($visitId, $behalfUserId, $destinatorEmails); } } diff --git a/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php b/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php index 386e50f15..bc0409ee2 100644 --- a/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php +++ b/GaelO2/app/GaelO/Interfaces/Adapters/JobInterface.php @@ -5,5 +5,5 @@ interface JobInterface { public function sendQcReportJob(int $visitId) : void; - public function sendRadiomicsReport(int $visitId, ?int $behalfUserId) :void; + public function sendRadiomicsReport(int $visitId, ?int $behalfUserId, ?array $destinatorEmails) :void; } \ No newline at end of file diff --git a/GaelO2/app/GaelO/Services/MailServices.php b/GaelO2/app/GaelO/Services/MailServices.php index d97a476ce..3ca31dee6 100644 --- a/GaelO2/app/GaelO/Services/MailServices.php +++ b/GaelO2/app/GaelO/Services/MailServices.php @@ -595,7 +595,7 @@ public function sendQcReport(string $studyName, string $visitType, string $patie $this->mailInterface->send(); } - public function sendRadiomicsReport(string $studyName, string $patientCode, string $visitType, string $visitDate, string $imagePath, array $stats, int $uploaderId) + public function sendRadiomicsReport(string $studyName, string $patientCode, string $visitType, string $visitDate, string $imagePath, array $stats, array $emailList) { $parameters = [ 'patientCode' => $patientCode, @@ -606,11 +606,7 @@ public function sendRadiomicsReport(string $studyName, string $patientCode, stri 'stats' => $stats ]; - $mailListBuilder = new MailListBuilder($this->userRepositoryInterface, $this->studyRepositoryInterface); - $mailListBuilder->withUserEmail($uploaderId) - ->withUsersEmailsByRolesInStudy($studyName, Constants::ROLE_SUPERVISOR); - - $this->mailInterface->setTo($mailListBuilder->get()); + $this->mailInterface->setTo($emailList); $this->mailInterface->setReplyTo($this->getStudyContactEmail($studyName)); $this->mailInterface->setParameters($parameters); $this->mailInterface->setBody(MailConstants::EMAIL_RADIOMICS_REPORT); diff --git a/GaelO2/app/Jobs/JobRadiomicsReport.php b/GaelO2/app/Jobs/JobRadiomicsReport.php index 6f4c70f3c..2aa463d01 100644 --- a/GaelO2/app/Jobs/JobRadiomicsReport.php +++ b/GaelO2/app/Jobs/JobRadiomicsReport.php @@ -33,15 +33,17 @@ class JobRadiomicsReport implements ShouldQueue, ShouldBeUnique public $tries = 1; private int $visitId; private ?int $behalfUserId; + private ?array $destinatorEmails; private array $createdFiles = []; private GaelOProcessingService $gaelOProcessingService; private OrthancService $orthancService; - public function __construct(int $visitId, ?int $behalfUserId) + public function __construct(int $visitId, ?int $behalfUserId, ?array $destinatorEmails) { $this->onQueue('processing'); $this->visitId = $visitId; $this->behalfUserId = $behalfUserId; + $this->destinatorEmails = $destinatorEmails; } public function handle( @@ -124,15 +126,17 @@ public function handle( 'Dmax Bulk' => $stats['dmaxbulk'], ]; - $mailServices->sendRadiomicsReport( - $studyName, - $patientCode, - $visitType, - $formattedVisitDate, - $mipMask, - $statValue, - $creatorUserId - ); + if($this->destinatorEmails){ + $mailServices->sendRadiomicsReport( + $studyName, + $patientCode, + $visitType, + $formattedVisitDate, + $mipMask, + $statValue, + $this->destinatorEmails + ); + } $pdfReport = $pdfServices->saveRadiomicsPdf( $studyName, From d648fe2553e6b0f7acd1213bd2d307ca7e640466 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Tue, 28 Nov 2023 00:06:04 +0100 Subject: [PATCH 22/24] conclusion value in bold --- GaelO2/app/GaelO/views/mails/mail_conclusion.blade.php | 9 ++++----- 1 file changed, 4 insertions(+), 5 deletions(-) diff --git a/GaelO2/app/GaelO/views/mails/mail_conclusion.blade.php b/GaelO2/app/GaelO/views/mails/mail_conclusion.blade.php index 789fe7938..50a0f55ce 100644 --- a/GaelO2/app/GaelO/views/mails/mail_conclusion.blade.php +++ b/GaelO2/app/GaelO/views/mails/mail_conclusion.blade.php @@ -2,9 +2,8 @@ @section('content') Review of the following visit is concluded
- Study : {{$study}}
- Patient Code : {{$patientCode}} - Visit : {{$visitType}}
- Conclusion Value : {{$conclusionValue}} + Study : {{ $study }}
+ Patient Code : {{ $patientCode }} + Visit : {{ $visitType }}
+ Conclusion Value : {{ $conclusionValue }} @endsection - From 56e3a7b2d8b1fa3986b51f0d059e5ec02754fd41 Mon Sep 17 00:00:00 2001 From: Salim Kanoun Date: Tue, 28 Nov 2023 00:12:01 +0100 Subject: [PATCH 23/24] fix tests --- GaelO2/tests/Unit/TestJobs/JobRadiomicsReportTest.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/tests/Unit/TestJobs/JobRadiomicsReportTest.php b/GaelO2/tests/Unit/TestJobs/JobRadiomicsReportTest.php index e0baacc9d..42d40aaff 100644 --- a/GaelO2/tests/Unit/TestJobs/JobRadiomicsReportTest.php +++ b/GaelO2/tests/Unit/TestJobs/JobRadiomicsReportTest.php @@ -39,7 +39,7 @@ public function testTmtvInference() { public function testTmtvInferenceFailMethods(){ Mail::fake(); - $radiomicsReportJob = new JobRadiomicsReport($this->visit->id, 1); + $radiomicsReportJob = new JobRadiomicsReport($this->visit->id, 1, null); $exception = new Exception('fakeError'); $radiomicsReportJob->failed($exception); Mail::assertQueued(JobFailure::class); From 90a16dc6edd6bd7678b49749a78cfbbc4c688b56 Mon Sep 17 00:00:00 2001 From: salim kanoun Date: Thu, 30 Nov 2023 11:15:27 +0100 Subject: [PATCH 24/24] upgrade version to 2.3.0 --- GaelO2/config/app.php | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/GaelO2/config/app.php b/GaelO2/config/app.php index 68c239dd5..7fa912af9 100644 --- a/GaelO2/config/app.php +++ b/GaelO2/config/app.php @@ -25,7 +25,7 @@ | or any other location as required by the application or its packages. */ - 'version' => '2.2.1', + 'version' => '2.3.0', /* |--------------------------------------------------------------------------