Skip to content

Commit f39576e

Browse files
committed
first commit
1 parent 3c6dd9d commit f39576e

File tree

113 files changed

+3776
-0
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

113 files changed

+3776
-0
lines changed

.qsys_edit/filters.xml

+2
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,2 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<filters version="18.1" />

.qsys_edit/preferences.xml

+12
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,12 @@
1+
<?xml version="1.0" encoding="UTF-8"?>
2+
<preferences>
3+
<debug showDebugMenu="0" />
4+
<systemtable filter="All Interfaces">
5+
<columns>
6+
<connections preferredWidth="47" />
7+
<irq preferredWidth="34" />
8+
</columns>
9+
</systemtable>
10+
<library expandedCategories="Library,Project" />
11+
<window width="1100" height="800" x="287" y="114" />
12+
</preferences>

Multiplier4bit.jpg

30.4 KB
Loading

Multiplier4bit.qpf

+30
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,30 @@
1+
# -------------------------------------------------------------------------- #
2+
#
3+
# Copyright (C) 2018 Intel Corporation. All rights reserved.
4+
# Your use of Intel Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Intel Program License
10+
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11+
# the Intel FPGA IP License Agreement, or other applicable license
12+
# agreement, including, without limitation, that your use is for
13+
# the sole purpose of programming logic devices manufactured by
14+
# Intel and sold by Intel or its authorized distributors. Please
15+
# refer to the applicable agreement for further details.
16+
#
17+
# -------------------------------------------------------------------------- #
18+
#
19+
# Quartus Prime
20+
# Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
21+
# Date created = 18:40:42 December 22, 2019
22+
#
23+
# -------------------------------------------------------------------------- #
24+
25+
QUARTUS_VERSION = "18.1"
26+
DATE = "18:40:42 December 22, 2019"
27+
28+
# Revisions
29+
30+
PROJECT_REVISION = "Multiplier4bit"

Multiplier4bit.qsf

+88
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,88 @@
1+
# -------------------------------------------------------------------------- #
2+
#
3+
# Copyright (C) 2018 Intel Corporation. All rights reserved.
4+
# Your use of Intel Corporation's design tools, logic functions
5+
# and other software and tools, and its AMPP partner logic
6+
# functions, and any output files from any of the foregoing
7+
# (including device programming or simulation files), and any
8+
# associated documentation or information are expressly subject
9+
# to the terms and conditions of the Intel Program License
10+
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11+
# the Intel FPGA IP License Agreement, or other applicable license
12+
# agreement, including, without limitation, that your use is for
13+
# the sole purpose of programming logic devices manufactured by
14+
# Intel and sold by Intel or its authorized distributors. Please
15+
# refer to the applicable agreement for further details.
16+
#
17+
# -------------------------------------------------------------------------- #
18+
#
19+
# Quartus Prime
20+
# Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition
21+
# Date created = 18:40:42 December 22, 2019
22+
#
23+
# -------------------------------------------------------------------------- #
24+
#
25+
# Notes:
26+
#
27+
# 1) The default values for assignments are stored in the file:
28+
# Multiplier4bit_assignment_defaults.qdf
29+
# If this file doesn't exist, see file:
30+
# assignment_defaults.qdf
31+
#
32+
# 2) Altera recommends that you do not modify this file. This
33+
# file is updated automatically by the Quartus Prime software
34+
# and any changes you make may be lost or overwritten.
35+
#
36+
# -------------------------------------------------------------------------- #
37+
38+
39+
set_global_assignment -name FAMILY "Cyclone IV E"
40+
set_global_assignment -name DEVICE EP4CE6E22C8
41+
set_global_assignment -name TOP_LEVEL_ENTITY multiplier4bit
42+
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.0
43+
set_global_assignment -name PROJECT_CREATION_TIME_DATE "18:40:42 DECEMBER 22, 2019"
44+
set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Lite Edition"
45+
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46+
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
47+
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
48+
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
49+
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
50+
set_global_assignment -name ENABLE_OCT_DONE OFF
51+
set_global_assignment -name ENABLE_CONFIGURATION_PINS OFF
52+
set_global_assignment -name ENABLE_BOOT_SEL_PIN OFF
53+
set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
54+
set_global_assignment -name GENERATE_RBF_FILE ON
55+
set_global_assignment -name CRC_ERROR_OPEN_DRAIN OFF
56+
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
57+
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "AS INPUT TRI-STATED"
58+
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL"
59+
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
60+
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
61+
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
62+
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
63+
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
64+
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
65+
set_location_assignment PIN_110 -to S[0]
66+
set_location_assignment PIN_111 -to S[1]
67+
set_location_assignment PIN_112 -to S[2]
68+
set_location_assignment PIN_113 -to S[3]
69+
set_location_assignment PIN_114 -to S[4]
70+
set_location_assignment PIN_115 -to S[5]
71+
set_location_assignment PIN_119 -to S[6]
72+
set_location_assignment PIN_120 -to S[7]
73+
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
74+
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
75+
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
76+
set_global_assignment -name VHDL_FILE multiplier4bit_tb.vhd
77+
set_global_assignment -name VHDL_FILE multiplier4bit.vhd
78+
set_global_assignment -name VHDL_FILE full_adder_vhdl_code.vhd
79+
set_global_assignment -name VHDL_FILE half_adder_vhdl_code.vhd
80+
set_location_assignment PIN_67 -to A[3]
81+
set_location_assignment PIN_66 -to A[2]
82+
set_location_assignment PIN_65 -to A[1]
83+
set_location_assignment PIN_64 -to A[0]
84+
set_location_assignment PIN_52 -to B[3]
85+
set_location_assignment PIN_51 -to B[2]
86+
set_location_assignment PIN_50 -to B[1]
87+
set_location_assignment PIN_49 -to B[0]
88+
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

Multiplier4bit.qws

48 Bytes
Binary file not shown.

Multiplier4bit_description.txt

Whitespace-only changes.

Multiplier4bit_fpga.jpg

41.3 KB
Loading

Multiplier4bit_modelsim.jpg

17.6 KB
Loading

README.md

+5
Original file line numberDiff line numberDiff line change
@@ -6,12 +6,17 @@ A 4bit Multiplier in VHDL
66

77
This is a VHDL project for DSD-I1* a Cyclone IV FPGA built in Quartus 18.1 to build a 2 x 4bit number multiplier using Full Adders and Half Adders and is based in the example of the book
88

9+
Diagram:
10+
![Diagram](./Multiplier4bit.jpg)
911

1012
Behavioral VHDL code: Multiplier4bit.vhd
1113
Testbench VHDL code: Multiplier4bit_tb.vhd
1214

1315
ModelSim:
16+
![ModelSim](./Multiplier4bit_modelsim.jpg)
1417

18+
FPGA:
19+
![FPGA](./Multiplier4bit_fpga.jpg)
1520

1621
*Note: DSD-i1: A Mixed Functionality Development Board Geared Towards Digital Systems Design Education [DOI:10.1109/DSD.2019.00032](https://www.researchgate.net/deref/http%3A%2F%2Fdx.doi.org%2F10.1109%2FDSD.2019.00032?_sg%5B0%5D=v-cnN-1Q246lx6ZElyyd_L2GLjVH2cDblXKnupqF6zBTWGsRmigTw_ho2UEIExompd-pfg1aXKe2HxtKhm8yTj_qKA.RFCrYuolSv1xRRtksL0NU8xa-sfrV6ZTsQm8Z6Ge2xh6ypvMKM0sHAtBECzdcRJoFOjJpYWyh5DrIrnMCZrsYA)
1722

db/.cmp.kpt

208 Bytes
Binary file not shown.

db/Multiplier4bit.(0).cnf.cdb

2.31 KB
Binary file not shown.

db/Multiplier4bit.(0).cnf.hdb

1.36 KB
Binary file not shown.

db/Multiplier4bit.(1).cnf.cdb

1.06 KB
Binary file not shown.

db/Multiplier4bit.(1).cnf.hdb

671 Bytes
Binary file not shown.

db/Multiplier4bit.(2).cnf.cdb

894 Bytes
Binary file not shown.

db/Multiplier4bit.(2).cnf.hdb

652 Bytes
Binary file not shown.

db/Multiplier4bit.asm.qmsg

+7
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,7 @@
1+
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1577435987786 ""}
2+
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition " "Version 18.1.0 Build 625 09/12/2018 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1577435987786 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 27 10:39:47 2019 " "Processing started: Fri Dec 27 10:39:47 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1577435987786 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1577435987786 ""}
3+
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off Multiplier4bit -c Multiplier4bit " "Command: quartus_asm --read_settings_files=off --write_settings_files=off Multiplier4bit -c Multiplier4bit" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1577435987786 ""}
4+
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1577435988520 ""}
5+
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1577435989020 ""}
6+
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1577435989067 ""}
7+
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4668 " "Peak virtual memory: 4668 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1577435989457 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 27 10:39:49 2019 " "Processing ended: Fri Dec 27 10:39:49 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1577435989457 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1577435989457 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1577435989457 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1577435989457 ""}

db/Multiplier4bit.asm.rdb

878 Bytes
Binary file not shown.

db/Multiplier4bit.asm_labs.ddb

7.32 KB
Binary file not shown.

db/Multiplier4bit.cbx.xml

+5
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,5 @@
1+
<?xml version="1.0" ?>
2+
<LOG_ROOT>
3+
<PROJECT NAME="Multiplier4bit">
4+
</PROJECT>
5+
</LOG_ROOT>

db/Multiplier4bit.cmp.bpm

694 Bytes
Binary file not shown.

db/Multiplier4bit.cmp.cdb

9.49 KB
Binary file not shown.

db/Multiplier4bit.cmp.hdb

13.2 KB
Binary file not shown.

db/Multiplier4bit.cmp.idb

1.26 KB
Binary file not shown.

0 commit comments

Comments
 (0)