diff --git a/src/gpl/test/convergence01.defok b/src/gpl/test/convergence01.defok index 0de7c161475..4fb1f08fd0d 100644 --- a/src/gpl/test/convergence01.defok +++ b/src/gpl/test/convergence01.defok @@ -161,70 +161,70 @@ COMPONENTS 145 ; - PHY_8 TAPCELL_ASAP7_75t_R + SOURCE DIST + FIXED ( 486 1620 ) N ; - PHY_9 TAPCELL_ASAP7_75t_R + SOURCE DIST + FIXED ( 11394 1620 ) FN ; - _33_ INVx3_ASAP7_75t_R + PLACED ( 4853 10251 ) N ; - - _34_ INVx3_ASAP7_75t_R + PLACED ( 5471 6131 ) N ; - - _35_ INVx3_ASAP7_75t_R + PLACED ( 5187 5909 ) N ; - - _36_ INVx3_ASAP7_75t_R + PLACED ( 4338 10251 ) N ; - - _37_ INVx3_ASAP7_75t_R + PLACED ( 4723 5498 ) N ; - - _38_ INVx3_ASAP7_75t_R + PLACED ( 4573 5470 ) N ; - - _39_ INVx3_ASAP7_75t_R + PLACED ( 10394 4180 ) N ; - - _40_ INVx3_ASAP7_75t_R + PLACED ( 6094 4723 ) N ; - - _41_ INVx3_ASAP7_75t_R + PLACED ( 10394 4326 ) N ; - - _42_ INVx3_ASAP7_75t_R + PLACED ( 5878 4326 ) N ; - - _43_ INVx3_ASAP7_75t_R + PLACED ( 5826 4162 ) N ; + - _34_ INVx3_ASAP7_75t_R + PLACED ( 5471 6127 ) N ; + - _35_ INVx3_ASAP7_75t_R + PLACED ( 5187 5904 ) N ; + - _36_ INVx3_ASAP7_75t_R + PLACED ( 4333 10251 ) N ; + - _37_ INVx3_ASAP7_75t_R + PLACED ( 4722 5500 ) N ; + - _38_ INVx3_ASAP7_75t_R + PLACED ( 4571 5476 ) N ; + - _39_ INVx3_ASAP7_75t_R + PLACED ( 10394 4195 ) N ; + - _40_ INVx3_ASAP7_75t_R + PLACED ( 6094 4724 ) N ; + - _41_ INVx3_ASAP7_75t_R + PLACED ( 10394 4338 ) N ; + - _42_ INVx3_ASAP7_75t_R + PLACED ( 5878 4328 ) N ; + - _43_ INVx3_ASAP7_75t_R + PLACED ( 5825 4163 ) N ; - _44_ INVx3_ASAP7_75t_R + PLACED ( 10394 3755 ) N ; - - _45_ INVx3_ASAP7_75t_R + PLACED ( 5701 3926 ) N ; - - _46_ INVx3_ASAP7_75t_R + PLACED ( 5635 3850 ) N ; - - _47_ INVx3_ASAP7_75t_R + PLACED ( 3023 1359 ) N ; - - _48_ INVx3_ASAP7_75t_R + PLACED ( 2936 1359 ) N ; + - _45_ INVx3_ASAP7_75t_R + PLACED ( 5702 3927 ) N ; + - _46_ INVx3_ASAP7_75t_R + PLACED ( 5637 3851 ) N ; + - _47_ INVx3_ASAP7_75t_R + PLACED ( 3021 1359 ) N ; + - _48_ INVx3_ASAP7_75t_R + PLACED ( 2934 1359 ) N ; - _49_ INVx3_ASAP7_75t_R + PLACED ( 2845 1359 ) N ; - _50_ INVx3_ASAP7_75t_R + PLACED ( 2753 1359 ) N ; - _51_ INVx3_ASAP7_75t_R + PLACED ( 2687 1359 ) N ; - - _52_ INVx3_ASAP7_75t_R + PLACED ( 2637 1359 ) N ; + - _52_ INVx3_ASAP7_75t_R + PLACED ( 2638 1359 ) N ; - _53_ INVx3_ASAP7_75t_R + PLACED ( 2590 1359 ) N ; - - _54_ INVx3_ASAP7_75t_R + PLACED ( 2542 1359 ) N ; - - _55_ INVx3_ASAP7_75t_R + PLACED ( 4012 3943 ) N ; - - _56_ INVx3_ASAP7_75t_R + PLACED ( 3893 3809 ) N ; - - _57_ INVx3_ASAP7_75t_R + PLACED ( 3853 3613 ) N ; - - _58_ INVx3_ASAP7_75t_R + PLACED ( 3865 3349 ) N ; - - _59_ INVx3_ASAP7_75t_R + PLACED ( 3974 3057 ) N ; - - _60_ INVx3_ASAP7_75t_R + PLACED ( 3979 2937 ) N ; - - _61_ INVx3_ASAP7_75t_R + PLACED ( 3378 2964 ) N ; - - _62_ INVx3_ASAP7_75t_R + PLACED ( 2081 2989 ) N ; - - _63_ INVx3_ASAP7_75t_R + PLACED ( 5936 6433 ) N ; - - _64_ INVx3_ASAP7_75t_R + PLACED ( 5906 6298 ) N ; - - _65_ INVx3_ASAP7_75t_R + PLACED ( 5760 6213 ) N ; - - _66_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6017 5377 ) N ; - - _67_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6143 5475 ) N ; - - _68_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6135 5621 ) N ; - - _69_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1890 1451 ) N ; - - _70_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3197 1472 ) N ; - - _71_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3563 1585 ) N ; - - _72_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3635 1905 ) N ; - - _73_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3627 2322 ) N ; - - _74_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3668 2724 ) N ; - - _75_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3715 2997 ) N ; - - _76_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3827 3170 ) N ; - - _77_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2064 ) N ; - - _78_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2084 ) N ; - - _79_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2098 ) N ; - - _80_ DFFLQNx1_ASAP7_75t_R + PLACED ( 935 2099 ) N ; - - _81_ DFFLQNx1_ASAP7_75t_R + PLACED ( 976 2101 ) N ; - - _82_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1045 2163 ) N ; - - _83_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1134 2552 ) N ; - - _84_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1202 3049 ) N ; - - _85_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3710 4615 ) N ; - - _86_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3803 4668 ) N ; - - _87_ DFFLQNx1_ASAP7_75t_R + PLACED ( 9224 3636 ) N ; - - _88_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3967 4956 ) N ; - - _89_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4041 5205 ) N ; - - _90_ DFFLQNx1_ASAP7_75t_R + PLACED ( 8803 4914 ) N ; + - _54_ INVx3_ASAP7_75t_R + PLACED ( 2543 1359 ) N ; + - _55_ INVx3_ASAP7_75t_R + PLACED ( 4001 3943 ) N ; + - _56_ INVx3_ASAP7_75t_R + PLACED ( 3884 3810 ) N ; + - _57_ INVx3_ASAP7_75t_R + PLACED ( 3846 3617 ) N ; + - _58_ INVx3_ASAP7_75t_R + PLACED ( 3860 3351 ) N ; + - _59_ INVx3_ASAP7_75t_R + PLACED ( 3971 3055 ) N ; + - _60_ INVx3_ASAP7_75t_R + PLACED ( 3971 2934 ) N ; + - _61_ INVx3_ASAP7_75t_R + PLACED ( 3379 2962 ) N ; + - _62_ INVx3_ASAP7_75t_R + PLACED ( 2091 2990 ) N ; + - _63_ INVx3_ASAP7_75t_R + PLACED ( 5935 6431 ) N ; + - _64_ INVx3_ASAP7_75t_R + PLACED ( 5905 6296 ) N ; + - _65_ INVx3_ASAP7_75t_R + PLACED ( 5762 6209 ) N ; + - _66_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6021 5372 ) N ; + - _67_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6140 5473 ) N ; + - _68_ DFFLQNx1_ASAP7_75t_R + PLACED ( 6135 5617 ) N ; + - _69_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1903 1453 ) N ; + - _70_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3200 1468 ) N ; + - _71_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3559 1580 ) N ; + - _72_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3634 1899 ) N ; + - _73_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3625 2324 ) N ; + - _74_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3665 2729 ) N ; + - _75_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3710 2997 ) N ; + - _76_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3821 3170 ) N ; + - _77_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2062 ) N ; + - _78_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2083 ) N ; + - _79_ DFFLQNx1_ASAP7_75t_R + PLACED ( 919 2096 ) N ; + - _80_ DFFLQNx1_ASAP7_75t_R + PLACED ( 935 2098 ) N ; + - _81_ DFFLQNx1_ASAP7_75t_R + PLACED ( 975 2099 ) N ; + - _82_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1043 2161 ) N ; + - _83_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1131 2547 ) N ; + - _84_ DFFLQNx1_ASAP7_75t_R + PLACED ( 1200 3048 ) N ; + - _85_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3711 4617 ) N ; + - _86_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3804 4669 ) N ; + - _87_ DFFLQNx1_ASAP7_75t_R + PLACED ( 9222 3637 ) N ; + - _88_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3966 4958 ) N ; + - _89_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4040 5208 ) N ; + - _90_ DFFLQNx1_ASAP7_75t_R + PLACED ( 8792 4940 ) N ; - _91_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4277 5992 ) N ; - - _92_ DFFLQNx1_ASAP7_75t_R + PLACED ( 9047 4443 ) N ; - - _93_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4255 4689 ) N ; - - _94_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4453 4741 ) N ; - - _95_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3529 10003 ) N ; - - _96_ DFFLQNx1_ASAP7_75t_R + PLACED ( 5154 5069 ) N ; - - _97_ DFFLQNx1_ASAP7_75t_R + PLACED ( 5559 5278 ) N ; + - _92_ DFFLQNx1_ASAP7_75t_R + PLACED ( 9028 4475 ) N ; + - _93_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4250 4693 ) N ; + - _94_ DFFLQNx1_ASAP7_75t_R + PLACED ( 4451 4742 ) N ; + - _95_ DFFLQNx1_ASAP7_75t_R + PLACED ( 3520 10008 ) N ; + - _96_ DFFLQNx1_ASAP7_75t_R + PLACED ( 5154 5064 ) N ; + - _97_ DFFLQNx1_ASAP7_75t_R + PLACED ( 5560 5272 ) N ; END COMPONENTS PINS 65 ; - clock + NET clock + DIRECTION INPUT + USE SIGNAL diff --git a/src/gpl/test/convergence01.ok b/src/gpl/test/convergence01.ok index 716ed93bdd9..501f95abaa5 100644 --- a/src/gpl/test/convergence01.ok +++ b/src/gpl/test/convergence01.ok @@ -80,37 +80,37 @@ Iteration | Area | Resized | Buffers | Nets repaired | Remaining [INFO GPL-0110] Timing-driven: new target density: 0.5 Iteration | Overflow | HPWL (um) | HPWL(%) | Penalty | Group --------------------------------------------------------------- - 10 | 0.1852 | 4.010190e+02 | +0.78% | 1.22e-10 | - 20 | 0.1983 | 3.996960e+02 | -0.33% | 1.80e-10 | - 30 | 0.2139 | 3.996910e+02 | -0.00% | 2.66e-10 | - 40 | 0.2224 | 3.997260e+02 | +0.01% | 3.91e-10 | - 50 | 0.2072 | 3.997480e+02 | +0.01% | 5.76e-10 | - 60 | 0.2037 | 3.997340e+02 | -0.00% | 8.49e-10 | - 70 | 0.1996 | 3.996970e+02 | -0.01% | 1.25e-09 | - 80 | 0.2094 | 3.997010e+02 | +0.00% | 1.84e-09 | - 90 | 0.2069 | 3.997450e+02 | +0.01% | 2.71e-09 | - 100 | 0.2129 | 3.997350e+02 | -0.00% | 4.00e-09 | - 110 | 0.2057 | 3.997330e+02 | -0.00% | 5.89e-09 | - 120 | 0.2047 | 3.997140e+02 | -0.00% | 8.68e-09 | - 130 | 0.2001 | 3.997150e+02 | +0.00% | 1.28e-08 | - 140 | 0.2082 | 3.997060e+02 | -0.00% | 1.88e-08 | - 150 | 0.2041 | 3.997320e+02 | +0.01% | 2.77e-08 | - 160 | 0.2099 | 3.997370e+02 | +0.00% | 4.09e-08 | - 170 | 0.2035 | 3.998120e+02 | +0.02% | 6.02e-08 | - 180 | 0.1994 | 3.998580e+02 | +0.01% | 8.87e-08 | - 190 | 0.1909 | 3.999030e+02 | +0.01% | 1.31e-07 | - 200 | 0.2047 | 3.997060e+02 | -0.05% | 1.92e-07 | - 210 | 0.1996 | 3.998090e+02 | +0.03% | 2.83e-07 | - 220 | 0.1939 | 3.999210e+02 | +0.03% | 4.18e-07 | - 230 | 0.1812 | 3.998690e+02 | -0.01% | 6.15e-07 | - 240 | 0.1842 | 3.997750e+02 | -0.02% | 9.06e-07 | - 250 | 0.1788 | 3.998360e+02 | +0.02% | 1.33e-06 | - 260 | 0.1719 | 3.998900e+02 | +0.01% | 1.97e-06 | - 270 | 0.1622 | 3.998190e+02 | -0.02% | 2.90e-06 | + 10 | 0.1870 | 4.009940e+02 | +0.78% | 1.22e-10 | + 20 | 0.1988 | 3.997030e+02 | -0.32% | 1.80e-10 | + 30 | 0.2140 | 3.996830e+02 | -0.01% | 2.66e-10 | + 40 | 0.2231 | 3.997220e+02 | +0.01% | 3.91e-10 | + 50 | 0.2084 | 3.997420e+02 | +0.01% | 5.76e-10 | + 60 | 0.2024 | 3.997290e+02 | -0.00% | 8.49e-10 | + 70 | 0.1990 | 3.996960e+02 | -0.01% | 1.25e-09 | + 80 | 0.2103 | 3.996920e+02 | -0.00% | 1.84e-09 | + 90 | 0.2071 | 3.997460e+02 | +0.01% | 2.71e-09 | + 100 | 0.2133 | 3.997360e+02 | -0.00% | 4.00e-09 | + 110 | 0.2051 | 3.997290e+02 | -0.00% | 5.89e-09 | + 120 | 0.2047 | 3.997080e+02 | -0.01% | 8.68e-09 | + 130 | 0.1994 | 3.997140e+02 | +0.00% | 1.28e-08 | + 140 | 0.2093 | 3.997020e+02 | -0.00% | 1.88e-08 | + 150 | 0.2039 | 3.997340e+02 | +0.01% | 2.77e-08 | + 160 | 0.2097 | 3.997340e+02 | +0.00% | 4.09e-08 | + 170 | 0.2033 | 3.998010e+02 | +0.02% | 6.02e-08 | + 180 | 0.1991 | 3.998550e+02 | +0.01% | 8.87e-08 | + 190 | 0.1917 | 3.999040e+02 | +0.01% | 1.31e-07 | + 200 | 0.2051 | 3.997040e+02 | -0.05% | 1.92e-07 | + 210 | 0.1982 | 3.998130e+02 | +0.03% | 2.83e-07 | + 220 | 0.1939 | 3.999100e+02 | +0.02% | 4.18e-07 | + 230 | 0.1813 | 3.998720e+02 | -0.01% | 6.15e-07 | + 240 | 0.1841 | 3.997760e+02 | -0.02% | 9.06e-07 | + 250 | 0.1791 | 3.998330e+02 | +0.01% | 1.33e-06 | + 260 | 0.1719 | 3.998860e+02 | +0.01% | 1.97e-06 | + 270 | 0.1622 | 3.998120e+02 | -0.02% | 2.90e-06 | 280 | 0.1421 | 3.998290e+02 | +0.00% | 4.27e-06 | - 290 | 0.1288 | 3.999540e+02 | +0.03% | 6.29e-06 | - 300 | 0.1138 | 4.000310e+02 | +0.02% | 9.26e-06 | - 308 | 0.0995 | 4.002340e+02 | | 1.31e-05 | + 290 | 0.1288 | 3.999560e+02 | +0.03% | 6.29e-06 | + 300 | 0.1141 | 4.000290e+02 | +0.02% | 9.26e-06 | + 308 | 0.0995 | 4.002280e+02 | | 1.31e-05 | --------------------------------------------------------------- [INFO GPL-1001] Global placement finished at iteration 308 [INFO GPL-1002] Placed Cell Area 15.5277 diff --git a/src/psm/test/aes_asap7_vdd.ok b/src/psm/test/aes_asap7_vdd.ok index 6b44251a582..d3483fe2fda 100644 --- a/src/psm/test/aes_asap7_vdd.ok +++ b/src/psm/test/aes_asap7_vdd.ok @@ -21,9 +21,9 @@ Net : VDD Corner : default Supply voltage : 7.00e-01 V -Worstcase voltage: 6.93e-01 V +Worstcase voltage: 6.91e-01 V Average voltage : 6.97e-01 V -Average IR drop : 2.65e-03 V -Worstcase IR drop: 6.60e-03 V -Percentage drop : 0.94 % +Average IR drop : 3.37e-03 V +Worstcase IR drop: 8.55e-03 V +Percentage drop : 1.22 % ###################################### diff --git a/src/rsz/README.md b/src/rsz/README.md index 46c35591d66..0eb8b96f67a 100644 --- a/src/rsz/README.md +++ b/src/rsz/README.md @@ -231,6 +231,7 @@ repair_timing [-skip_buffer_removal] [-skip_last_gasp] [-skip_vt_swap] + [-skip_crit_vt_swap] [-repair_tns tns_end_percent] [-max_passes passes] [-max_repairs_per_pass max_repairs_per_pass] @@ -258,6 +259,7 @@ repair_timing | `-skip_buffer_removal` | Flag to skip buffer removal. The default is to perform buffer removal transform during setup fixing. | | `-skip_last_gasp` | Flag to skip final ("last gasp") optimizations. The default is to perform greedy sizing at the end of optimization. | | `-skip_vt_swap` | Flag to skip threshold voltage (VT) swap optimizations. The default is to perform VT swap optimization to improve timing QoR. | +| `-skip_crit_vt_swap` | Flag to skip critical threshold voltage (VT) swap optimizations at the end of optimization. The default is to perform critical VT swap optimization to improve timing QoR beyond repairing just the worst path per each violating endpoint. | | `-repair_tns` | Percentage of violating endpoints to repair (0-100). When `tns_end_percent` is zero, only the worst endpoint is repaired. When `tns_end_percent` is 100 (default), all violating endpoints are repaired. | | `-max_repairs_per_pass` | Maximum repairs per pass, default is 1. On the worst paths, the maximum number of repairs is attempted. It gradually decreases until the final violations which only get 1 repair per pass. | | `-max_utilization` | Defines the percentage of core area used. | diff --git a/src/rsz/include/rsz/Resizer.hh b/src/rsz/include/rsz/Resizer.hh index 2a039681ae5..48b221876aa 100644 --- a/src/rsz/include/rsz/Resizer.hh +++ b/src/rsz/include/rsz/Resizer.hh @@ -286,7 +286,8 @@ class Resizer : public dbStaState, public dbNetworkObserver bool skip_buffering, bool skip_buffer_removal, bool skip_last_gasp, - bool skip_vt_swap); + bool skip_vt_swap, + bool skip_crit_vt_swap); // For testing. void repairSetup(const Pin* end_pin); // For testing. @@ -684,6 +685,9 @@ class Resizer : public dbStaState, public dbNetworkObserver bool isLogicStdCell(const Instance* inst); bool okToBufferNet(const Pin* driver_pin) const; + bool checkAndMarkVTSwappable(Instance* inst, + std::unordered_set& notSwappable, + LibertyCell*& best_lib_cell); //////////////////////////////////////////////////////////////// // Jounalling support for checkpointing and backing out changes diff --git a/src/rsz/src/RepairSetup.cc b/src/rsz/src/RepairSetup.cc index 7220258eb38..29b618594c9 100644 --- a/src/rsz/src/RepairSetup.cc +++ b/src/rsz/src/RepairSetup.cc @@ -32,9 +32,11 @@ #include "sta/InputDrive.hh" #include "sta/Liberty.hh" #include "sta/Parasitics.hh" +#include "sta/PathEnd.hh" #include "sta/PathExpanded.hh" #include "sta/PortDirection.hh" #include "sta/Sdc.hh" +#include "sta/Search.hh" #include "sta/Sta.hh" #include "sta/TimingArc.hh" #include "sta/Units.hh" @@ -58,8 +60,10 @@ using sta::fuzzyLess; using sta::GraphDelayCalc; using sta::InstancePinIterator; using sta::NetConnectedPinIterator; +using sta::PathEndSeq; using sta::PathExpanded; using sta::Slew; +using sta::VertexInEdgeIterator; using sta::VertexOutEdgeIterator; RepairSetup::RepairSetup(Resizer* resizer) : resizer_(resizer) @@ -87,7 +91,8 @@ bool RepairSetup::repairSetup(const float setup_slack_margin, const bool skip_buffering, const bool skip_buffer_removal, const bool skip_last_gasp, - const bool skip_vt_swap) + const bool skip_vt_swap, + const bool skip_crit_vt_swap) { bool repaired = false; init(); @@ -468,6 +473,24 @@ bool RepairSetup::repairSetup(const float setup_slack_margin, repairSetupLastGasp(params, num_viols); } + if (!skip_crit_vt_swap && !skip_vt_swap + && resizer_->lib_data_->sorted_vt_categories.size() > 1) { + // Swap most critical cells to fastest VT + + OptoParams params(setup_slack_margin, + verbose, + skip_pin_swap, + skip_gate_cloning, + skip_size_down, + skip_buffering, + skip_buffer_removal, + skip_vt_swap); + if (swapVTCritCells(params, num_viols)) { + estimate_parasitics_->updateParasitics(); + sta_->findRequireds(); + } + } + printProgress(opto_iteration, true, true, false, num_viols); int buffer_moves_ = resizer_->buffer_move_->numCommittedMoves(); @@ -1003,4 +1026,187 @@ void RepairSetup::repairSetupLastGasp(const OptoParams& params, int& num_viols) } // for each violating endpoint } +// Perform VT swap on remaining critical cells as a last resort +bool RepairSetup::swapVTCritCells(const OptoParams& params, int& num_viols) +{ + bool changed = false; + + // Start with sorted violating endpoints + const VertexSet* endpoints = sta_->endpoints(); + vector> violating_ends; + for (Vertex* end : *endpoints) { + const Slack end_slack = sta_->vertexSlack(end, max_); + if (end_slack < params.setup_slack_margin) { + violating_ends.emplace_back(end, end_slack); + } + } + std::stable_sort(violating_ends.begin(), + violating_ends.end(), + [](const auto& end_slack1, const auto& end_slack2) { + return end_slack1.second < end_slack2.second; + }); + + // Collect 50 critical instances from worst 100 violating endpoints + // 50 x 100 = 5000 instances + const size_t max_endpoints = 100; + if (violating_ends.size() > max_endpoints) { + violating_ends.resize(max_endpoints); + } + std::unordered_map crit_insts; + std::unordered_set visited; + std::unordered_set notSwappable; + for (const auto& [endpoint, slack] : violating_ends) { + traverseFaninCone(endpoint, crit_insts, visited, notSwappable, params); + } + debugPrint(logger_, + RSZ, + "swap_crit_vt", + 1, + "identified {} critical instances", + crit_insts.size()); + + // Do VT swap on critical instances for now + // Other transforms can follow later + VTSwapSpeedMove* move = resizer_->vt_swap_speed_move_.get(); + for (auto crit_inst_slack : crit_insts) { + if (move->doMove(crit_inst_slack.first, notSwappable)) { + changed = true; + debugPrint(logger_, + RSZ, + "swap_crit_vt", + 1, + "inst {} did crit VT swap", + network_->pathName(crit_inst_slack.first)); + } + } + if (changed) { + move->commitMoves(); + estimate_parasitics_->updateParasitics(); + sta_->findRequireds(); + violating_ends.clear(); + for (Vertex* end : *endpoints) { + const Slack end_slack = sta_->vertexSlack(end, max_); + if (end_slack < params.setup_slack_margin) { + violating_ends.emplace_back(end, end_slack); + } + } + num_viols = violating_ends.size(); + } + + return changed; +} + +// Traverse fanin code starting from this violaitng endpoint. +// Visit fanin instances only if they have violating slack. +// This avoids exponential path enumeration in findPathEnds. +void RepairSetup::traverseFaninCone( + Vertex* endpoint, + std::unordered_map& crit_insts, + std::unordered_set& visited, + std::unordered_set& notSwappable, + const OptoParams& params) + +{ + if (visited.find(endpoint) != visited.end()) { + return; + } + + visited.insert(endpoint); + // Limit number of critical instances per endpoint + const int max_instances = 50; + std::queue queue; + queue.push(endpoint); + int endpoint_insts = 0; + LibertyCell* best_lib_cell; + + while (!queue.empty() && endpoint_insts < max_instances) { + Vertex* current = queue.front(); + queue.pop(); + + // Get the instance associated with this vertex + Instance* inst = nullptr; + Pin* pin = current->pin(); + if (pin) { + inst = network_->instance(pin); + } + + if (inst) { + // Check if VT swap is possible + if (resizer_->checkAndMarkVTSwappable( + inst, notSwappable, best_lib_cell)) { + // Check if this instance has negative slack + const Slack inst_slack = getInstanceSlack(inst); + if (inst_slack < params.setup_slack_margin) { + // Update worst slack for this instance + auto it = crit_insts.find(inst); + if (it == crit_insts.end()) { + crit_insts[inst] = inst_slack; + endpoint_insts++; + debugPrint(logger_, + RSZ, + "swap_crit_vt", + 1, + "swapVTCritCells: found crit inst {}: slack {}", + network_->name(inst), + inst_slack); + } + } + } + } + + // Traverse fanin edges + VertexInEdgeIterator edge_iter(current, graph_); + while (edge_iter.hasNext()) { + Edge* edge = edge_iter.next(); + Vertex* fanin_vertex = edge->from(graph_); + if (fanin_vertex->isRegClk()) { + continue; + } + + // Only traverse if we haven't visited and the fanin has negative slack + if (visited.find(fanin_vertex) == visited.end()) { + const Slack fanin_slack = sta_->vertexSlack(fanin_vertex, max_); + if (fanin_slack < params.setup_slack_margin) { + queue.push(fanin_vertex); + visited.insert(fanin_vertex); + } + } + } + } + + debugPrint(logger_, + RSZ, + "swap_crit_vt", + 1, + "traverseFaninCone: endpoint {} has {} critical instances:", + endpoint->name(network_), + endpoint_insts); + if (logger_->debugCheck(RSZ, "swap_crit_vt", 1)) { + for (auto crit_inst_slack : crit_insts) { + logger_->report(" {}", network_->pathName(crit_inst_slack.first)); + } + } +} + +Slack RepairSetup::getInstanceSlack(Instance* inst) +{ + Slack worst_slack = std::numeric_limits::max(); + + // Check all output pins of the instance + InstancePinIterator* pin_iter = network_->pinIterator(inst); + while (pin_iter->hasNext()) { + Pin* pin = pin_iter->next(); + if (network_->direction(pin)->isAnyOutput()) { + Vertex* vertex = graph_->pinDrvrVertex(pin); + if (vertex) { + const Slack pin_slack = sta_->vertexSlack(vertex, max_); + worst_slack = std::min(worst_slack, pin_slack); + } + } + } + delete pin_iter; + + return worst_slack; +} + } // namespace rsz diff --git a/src/rsz/src/RepairSetup.hh b/src/rsz/src/RepairSetup.hh index 0313ef9a2c7..35e180ae4a1 100644 --- a/src/rsz/src/RepairSetup.hh +++ b/src/rsz/src/RepairSetup.hh @@ -105,7 +105,8 @@ class RepairSetup : public sta::dbStaState bool skip_buffering, bool skip_buffer_removal, bool skip_last_gasp, - bool skip_vt_swap); + bool skip_vt_swap, + bool skip_crit_vt_swap); // For testing. void repairSetup(const Pin* end_pin); // For testing. @@ -131,6 +132,13 @@ class RepairSetup : public sta::dbStaState int endpt_index, int num_endpts); void repairSetupLastGasp(const OptoParams& params, int& num_viols); + bool swapVTCritCells(const OptoParams& params, int& num_viols); + void traverseFaninCone(Vertex* endpoint, + std::unordered_map& crit_insts, + std::unordered_set& visited, + std::unordered_set& notSwappable, + const OptoParams& params); + Slack getInstanceSlack(Instance* inst); Logger* logger_ = nullptr; dbNetwork* db_network_ = nullptr; diff --git a/src/rsz/src/Resizer.cc b/src/rsz/src/Resizer.cc index d276173f3b2..ef804e297a1 100644 --- a/src/rsz/src/Resizer.cc +++ b/src/rsz/src/Resizer.cc @@ -4362,7 +4362,8 @@ bool Resizer::repairSetup(double setup_margin, bool skip_buffering, bool skip_buffer_removal, bool skip_last_gasp, - bool skip_vt_swap) + bool skip_vt_swap, + bool skip_crit_vt_swap) { utl::SetAndRestore set_match_footprint(match_cell_footprint_, match_cell_footprint); @@ -4385,7 +4386,8 @@ bool Resizer::repairSetup(double setup_margin, skip_buffering, skip_buffer_removal, skip_last_gasp, - skip_vt_swap); + skip_vt_swap, + skip_crit_vt_swap); } void Resizer::reportSwappablePins() @@ -5154,4 +5156,44 @@ bool Resizer::okToBufferNet(const Pin* driver_pin) const return true; } +// Check if current instance can be swapped to the +// fastest VT variant. If not, mark it as such. +bool Resizer::checkAndMarkVTSwappable( + Instance* inst, + std::unordered_set& notSwappable, + LibertyCell*& best_lib_cell) +{ + best_lib_cell = nullptr; + if (notSwappable.find(inst) != notSwappable.end()) { + return false; + } + if (dontTouch(inst) || !isLogicStdCell(inst)) { + notSwappable.insert(inst); + return false; + } + Cell* cell = network_->cell(inst); + if (!cell) { + notSwappable.insert(inst); + return false; + } + LibertyCell* curr_lib_cell = network_->libertyCell(cell); + if (!curr_lib_cell) { + notSwappable.insert(inst); + return false; + } + LibertyCellSeq equiv_cells = getVTEquivCells(curr_lib_cell); + if (equiv_cells.empty()) { + notSwappable.insert(inst); + return false; + } + best_lib_cell = equiv_cells.back(); + if (best_lib_cell == curr_lib_cell) { + best_lib_cell = nullptr; + notSwappable.insert(inst); + return false; + } + + return true; +} + } // namespace rsz diff --git a/src/rsz/src/Resizer.i b/src/rsz/src/Resizer.i index d6c86cf5230..ddf50ff6219 100644 --- a/src/rsz/src/Resizer.i +++ b/src/rsz/src/Resizer.i @@ -372,7 +372,8 @@ repair_setup(double setup_margin, bool skip_buffering, bool skip_buffer_removal, bool skip_last_gasp, - bool skip_vt_swap) + bool skip_vt_swap, + bool skip_crit_vt_swap) { ensureLinked(); Resizer *resizer = getResizer(); @@ -383,7 +384,7 @@ repair_setup(double setup_margin, skip_pin_swap, skip_gate_cloning, skip_size_down, skip_buffering, skip_buffer_removal, - skip_last_gasp, skip_vt_swap); + skip_last_gasp, skip_vt_swap, skip_crit_vt_swap); } void diff --git a/src/rsz/src/Resizer.tcl b/src/rsz/src/Resizer.tcl index 08104c08dc5..beca96c96bd 100644 --- a/src/rsz/src/Resizer.tcl +++ b/src/rsz/src/Resizer.tcl @@ -248,6 +248,7 @@ sta::define_cmd_args "repair_timing" {[-setup] [-hold]\ [-skip_buffer_removal]\ [-skip_last_gasp]\ [-skip_vt_swap]\ + [-skip_crit_vt_swap]\ [-repair_tns tns_end_percent]\ [-max_passes passes]\ [-max_buffer_percent buffer_percent]\ @@ -263,7 +264,7 @@ proc repair_timing { args } { -recover_power -repair_tns -max_passes -max_repairs_per_pass} \ flags {-setup -hold -allow_setup_violations -skip_pin_swap -skip_gate_cloning \ -skip_size_down -skip_buffering -skip_buffer_removal -skip_last_gasp \ - -skip_vt_swap -match_cell_footprint -verbose} + -skip_vt_swap -skip_crit_vt_swap -match_cell_footprint -verbose} set setup [info exists flags(-setup)] set hold [info exists flags(-hold)] @@ -301,6 +302,7 @@ proc repair_timing { args } { set skip_buffer_removal [info exists flags(-skip_buffer_removal)] set skip_last_gasp [info exists flags(-skip_last_gasp)] set skip_vt_swap [info exists flags(-skip_vt_swap)] + set skip_crit_vt_swap [info exists flags(-skip_crit_vt_swap)] rsz::set_max_utilization [rsz::parse_max_util keys] set max_buffer_percent 20 @@ -358,7 +360,7 @@ proc repair_timing { args } { $max_repairs_per_pass $match_cell_footprint $verbose \ $sequence \ $skip_pin_swap $skip_gate_cloning $skip_size_down $skip_buffering \ - $skip_buffer_removal $skip_last_gasp $skip_vt_swap] + $skip_buffer_removal $skip_last_gasp $skip_vt_swap $skip_crit_vt_swap] } if { $hold } { set repaired_hold [rsz::repair_hold $setup_margin $hold_margin \ diff --git a/src/rsz/src/VTSwapMove.cc b/src/rsz/src/VTSwapMove.cc index a846eb40d03..9078e39cfba 100644 --- a/src/rsz/src/VTSwapMove.cc +++ b/src/rsz/src/VTSwapMove.cc @@ -63,6 +63,27 @@ bool VTSwapSpeedMove::doMove(const Path* drvr_path, return false; } +// This is a special move used during separate critical cell VT swap routine +bool VTSwapSpeedMove::doMove(Instance* drvr, + std::unordered_set& notSwappable) +{ + LibertyCell* best_lib_cell; + if (resizer_->checkAndMarkVTSwappable(drvr, notSwappable, best_lib_cell)) { + if (replaceCell(drvr, best_lib_cell)) { + addMove(drvr); + debugMovePrint1("ACCEPT vt_swap {}: -> {}", + network_->pathName(drvr), + best_lib_cell->name()); + debugMovePrint3( + "vt_swap {} -> {}", network_->pathName(drvr), best_lib_cell->name()); + return true; + } + } + + debugMovePrint1("REJECT vt_swap {} failed", network_->pathName(drvr)); + return false; +} + bool VTSwapSpeedMove::isSwappable(const Path*& drvr_path, Pin*& drvr_pin, Instance*& drvr, diff --git a/src/rsz/src/VTSwapMove.hh b/src/rsz/src/VTSwapMove.hh index 8a905c36c07..92b1141fd1d 100644 --- a/src/rsz/src/VTSwapMove.hh +++ b/src/rsz/src/VTSwapMove.hh @@ -18,6 +18,8 @@ class VTSwapSpeedMove : public BaseMove PathExpanded* expanded, float setup_slack_margin) override; + bool doMove(Instance* drvr, std::unordered_set& notSwappable); + const char* name() override { return "VTSwapSpeed"; } private: diff --git a/src/rsz/test/BUILD b/src/rsz/test/BUILD index 6da98a4f927..6867edb94fe 100644 --- a/src/rsz/test/BUILD +++ b/src/rsz/test/BUILD @@ -178,6 +178,8 @@ TESTS = [ "set_dont_touch1", "set_dont_use1", "split_load_hier", + "repair_setup_vt_swap", + "repair_setup_vt_swap2", ] filegroup( diff --git a/src/rsz/test/CMakeLists.txt b/src/rsz/test/CMakeLists.txt index 19af4a8b67c..6b68916bcfd 100644 --- a/src/rsz/test/CMakeLists.txt +++ b/src/rsz/test/CMakeLists.txt @@ -172,6 +172,8 @@ or_integration_tests( report_buffers_sky130hs report_buffers_sky130hd report_buffers_gf180 + repair_setup_vt_swap + repair_setup_vt_swap2 repair_fanout7_skip_pin_swap PASSFAIL_TESTS cpp_tests diff --git a/src/rsz/test/gcd.sdc b/src/rsz/test/gcd.sdc new file mode 100644 index 00000000000..0bf9b4a0317 --- /dev/null +++ b/src/rsz/test/gcd.sdc @@ -0,0 +1,15 @@ +current_design gcd + +set clk_name core_clock +set clk_port_name clk +set clk_period 220 +set clk_io_pct 0.2 + +set clk_port [get_ports $clk_port_name] + +create_clock -name $clk_name -period $clk_period $clk_port + +set non_clock_inputs [all_inputs -no_clocks] + +set_input_delay [expr $clk_period * $clk_io_pct] -clock $clk_name $non_clock_inputs +set_output_delay [expr $clk_period * $clk_io_pct] -clock $clk_name [all_outputs] diff --git a/src/rsz/test/gcd_asap7_cts.v b/src/rsz/test/gcd_asap7_cts.v new file mode 100644 index 00000000000..af8869fc676 --- /dev/null +++ b/src/rsz/test/gcd_asap7_cts.v @@ -0,0 +1,1701 @@ +module gcd (clk, + req_rdy, + req_val, + reset, + resp_rdy, + resp_val, + req_msg, + resp_msg); + input clk; + output req_rdy; + input req_val; + input reset; + input resp_rdy; + output resp_val; + input [31:0] req_msg; + output [15:0] resp_msg; + + wire _000_; + wire _001_; + wire _002_; + wire _003_; + wire _004_; + wire _005_; + wire _006_; + wire _007_; + wire _008_; + wire _009_; + wire _010_; + wire _011_; + wire _012_; + wire _013_; + wire _014_; + wire _015_; + wire _016_; + wire _017_; + wire _018_; + wire _019_; + wire _020_; + wire _021_; + wire _022_; + wire _023_; + wire _024_; + wire _025_; + wire _026_; + wire _027_; + wire _028_; + wire _029_; + wire _030_; + wire _031_; + wire _032_; + wire _033_; + wire _034_; + wire _035_; + wire _036_; + wire _037_; + wire _038_; + wire _039_; + wire _040_; + wire _041_; + wire _042_; + wire _043_; + wire _044_; + wire _045_; + wire _046_; + wire _047_; + wire _048_; + wire _049_; + wire _050_; + wire _051_; + wire _052_; + wire _053_; + wire _054_; + wire _055_; + wire _056_; + wire _057_; + wire _058_; + wire _059_; + wire _060_; + wire _061_; + wire _062_; + wire _063_; + wire _064_; + wire _065_; + wire _066_; + wire _067_; + wire _068_; + wire _069_; + wire _070_; + wire _071_; + wire _072_; + wire _073_; + wire _074_; + wire _075_; + wire _076_; + wire _077_; + wire _078_; + wire _079_; + wire _080_; + wire _081_; + wire _082_; + wire _083_; + wire _084_; + wire _085_; + wire _086_; + wire _087_; + wire _088_; + wire _089_; + wire _090_; + wire _091_; + wire _092_; + wire _093_; + wire _094_; + wire _095_; + wire _096_; + wire _097_; + wire _098_; + wire _099_; + wire _100_; + wire _101_; + wire _102_; + wire net15; + wire net12; + wire net14; + wire net13; + wire _107_; + wire _108_; + wire _109_; + wire _110_; + wire _111_; + wire _112_; + wire _113_; + wire _114_; + wire _115_; + wire _116_; + wire _117_; + wire _118_; + wire _119_; + wire _120_; + wire _121_; + wire _122_; + wire _123_; + wire _124_; + wire _125_; + wire _126_; + wire _127_; + wire _128_; + wire _129_; + wire _130_; + wire _131_; + wire net11; + wire _133_; + wire _134_; + wire _135_; + wire _136_; + wire _137_; + wire _138_; + wire _139_; + wire _140_; + wire _141_; + wire net10; + wire _143_; + wire _144_; + wire _145_; + wire net9; + wire _147_; + wire _148_; + wire _149_; + wire _150_; + wire _151_; + wire _152_; + wire _153_; + wire _154_; + wire _155_; + wire _156_; + wire net8; + wire _158_; + wire _159_; + wire net7; + wire net6; + wire _162_; + wire net5; + wire _164_; + wire net4; + wire _166_; + wire _167_; + wire _168_; + wire _169_; + wire _170_; + wire _171_; + wire _172_; + wire _173_; + wire _174_; + wire _175_; + wire _176_; + wire _177_; + wire _178_; + wire _179_; + wire _180_; + wire _181_; + wire _182_; + wire _183_; + wire _184_; + wire _185_; + wire _186_; + wire _187_; + wire _188_; + wire _189_; + wire _190_; + wire _191_; + wire _192_; + wire _193_; + wire _194_; + wire net3; + wire _196_; + wire _197_; + wire _198_; + wire _199_; + wire _200_; + wire _201_; + wire _202_; + wire _203_; + wire _204_; + wire _205_; + wire _206_; + wire _207_; + wire _208_; + wire _209_; + wire _210_; + wire _211_; + wire _212_; + wire _213_; + wire _214_; + wire net2; + wire _216_; + wire _217_; + wire _218_; + wire _219_; + wire _220_; + wire _221_; + wire _222_; + wire _223_; + wire _224_; + wire _225_; + wire _226_; + wire _227_; + wire _228_; + wire _229_; + wire _230_; + wire _231_; + wire _232_; + wire _233_; + wire _234_; + wire _235_; + wire _236_; + wire _237_; + wire _238_; + wire _239_; + wire _240_; + wire _241_; + wire _242_; + wire _243_; + wire _244_; + wire _245_; + wire _246_; + wire _247_; + wire net1; + wire _249_; + wire _250_; + wire _251_; + wire _252_; + wire _253_; + wire _254_; + wire _255_; + wire _256_; + wire _257_; + wire _258_; + wire _259_; + wire _260_; + wire _261_; + wire _262_; + wire _263_; + wire _264_; + wire _265_; + wire _266_; + wire _267_; + wire _268_; + wire _269_; + wire _270_; + wire _271_; + wire _272_; + wire _273_; + wire _274_; + wire _275_; + wire _276_; + wire _277_; + wire _278_; + wire _279_; + wire _280_; + wire _281_; + wire _282_; + wire _283_; + wire _284_; + wire _285_; + wire _286_; + wire _287_; + wire _288_; + wire _289_; + wire _290_; + wire _291_; + wire _292_; + wire _293_; + wire _294_; + wire _295_; + wire _296_; + wire \dpath.a_lt_b$in0[10] ; + wire \dpath.a_lt_b$in0[11] ; + wire \dpath.a_lt_b$in0[12] ; + wire \dpath.a_lt_b$in0[13] ; + wire \dpath.a_lt_b$in0[14] ; + wire \dpath.a_lt_b$in0[15] ; + wire \dpath.a_lt_b$in0[1] ; + wire \dpath.a_lt_b$in0[2] ; + wire \dpath.a_lt_b$in0[3] ; + wire \dpath.a_lt_b$in0[4] ; + wire \dpath.a_lt_b$in0[5] ; + wire \dpath.a_lt_b$in0[6] ; + wire \dpath.a_lt_b$in0[7] ; + wire \dpath.a_lt_b$in0[8] ; + wire \dpath.a_lt_b$in0[9] ; + wire \dpath.a_lt_b$in1[0] ; + wire \dpath.a_lt_b$in1[1] ; + wire net36; + wire net37; + wire net38; + wire net39; + wire net40; + wire net41; + wire net42; + wire net43; + wire net44; + wire net45; + wire net46; + wire net47; + wire net48; + wire net49; + wire net50; + wire net51; + wire net52; + wire net53; + wire net16; + wire net17; + wire net18; + wire net19; + wire net20; + wire net21; + wire net22; + wire net23; + wire net24; + wire net25; + wire net26; + wire net27; + wire net28; + wire net29; + wire net30; + wire net31; + wire net32; + wire net33; + wire net34; + wire net35; + wire net83; + wire net82; + wire net84; + wire net85; + wire clknet_2_3__leaf_clk; + wire clknet_2_2__leaf_clk; + wire clknet_2_1__leaf_clk; + wire net86; + wire clknet_2_0__leaf_clk; + wire clknet_0_clk; + + BUFx2_ASAP7_75t_L input15 (.A(req_msg[22]), + .Y(net15)); + INVx1_ASAP7_75t_R _298_ (.A(_003_), + .Y(net36)); + BUFx2_ASAP7_75t_L input14 (.A(req_msg[21]), + .Y(net14)); + BUFx2_ASAP7_75t_L input13 (.A(req_msg[20]), + .Y(net13)); + BUFx2_ASAP7_75t_L input12 (.A(req_msg[1]), + .Y(net12)); + INVx1_ASAP7_75t_R _302_ (.A(_066_), + .Y(\dpath.a_lt_b$in1[1] )); + INVx1_ASAP7_75t_R _303_ (.A(_004_), + .Y(\dpath.a_lt_b$in1[0] )); + OA211x2_ASAP7_75t_SL _304_ (.A1(_052_), + .A2(_050_), + .B(_049_), + .C(_046_), + .Y(_107_)); + AO21x1_ASAP7_75t_R _305_ (.A1(_046_), + .A2(_047_), + .B(_044_), + .Y(_108_)); + OA21x2_ASAP7_75t_SL _306_ (.A1(_107_), + .A2(_108_), + .B(_043_), + .Y(_109_)); + OR2x2_ASAP7_75t_SL _307_ (.A(_041_), + .B(_109_), + .Y(_110_)); + AOI21x1_ASAP7_75t_R _308_ (.A1(_040_), + .A2(_110_), + .B(_038_), + .Y(_111_)); + INVx1_ASAP7_75t_R _309_ (.A(_023_), + .Y(_112_)); + OA21x2_ASAP7_75t_R _310_ (.A1(_065_), + .A2(_112_), + .B(_064_), + .Y(_113_)); + OR3x1_ASAP7_75t_R _311_ (.A(net85), + .B(net84), + .C(_056_), + .Y(_114_)); + OA21x2_ASAP7_75t_SL _312_ (.A1(net85), + .A2(_061_), + .B(_058_), + .Y(_115_)); + OA21x2_ASAP7_75t_SL _313_ (.A1(_056_), + .A2(_115_), + .B(_055_), + .Y(_116_)); + OA21x2_ASAP7_75t_SL _314_ (.A1(_113_), + .A2(_114_), + .B(_116_), + .Y(_117_)); + OR3x1_ASAP7_75t_SL _315_ (.A(_047_), + .B(_053_), + .C(_050_), + .Y(_118_)); + NOR2x1_ASAP7_75t_SL _316_ (.A(_041_), + .B(_044_), + .Y(_119_)); + INVx1_ASAP7_75t_R _317_ (.A(_119_), + .Y(_120_)); + OR3x1_ASAP7_75t_L _318_ (.A(_038_), + .B(_118_), + .C(_120_), + .Y(_121_)); + OAI21x1_ASAP7_75t_R _319_ (.A1(_117_), + .A2(_121_), + .B(_037_), + .Y(_122_)); + OA21x2_ASAP7_75t_SL _320_ (.A1(_034_), + .A2(_032_), + .B(_031_), + .Y(_123_)); + OR2x2_ASAP7_75t_SL _321_ (.A(_029_), + .B(_123_), + .Y(_124_)); + AO21x1_ASAP7_75t_R _322_ (.A1(_028_), + .A2(_124_), + .B(_026_), + .Y(_125_)); + NAND2x1_ASAP7_75t_R _323_ (.A(_025_), + .B(_125_), + .Y(_126_)); + AO21x1_ASAP7_75t_SL _324_ (.A1(_034_), + .A2(_035_), + .B(_032_), + .Y(_127_)); + AO21x1_ASAP7_75t_R _325_ (.A1(_031_), + .A2(_127_), + .B(_029_), + .Y(_128_)); + AO21x1_ASAP7_75t_R _326_ (.A1(_028_), + .A2(_128_), + .B(_026_), + .Y(_129_)); + NAND2x1_ASAP7_75t_R _327_ (.A(_025_), + .B(_129_), + .Y(_130_)); + OA31x2_ASAP7_75t_SL _328_ (.A1(_111_), + .A2(_122_), + .A3(_126_), + .B1(_130_), + .Y(_131_)); + BUFx2_ASAP7_75t_L input11 (.A(req_msg[19]), + .Y(net11)); + AND4x1_ASAP7_75t_SL _330_ (.A(_042_), + .B(_024_), + .C(_027_), + .D(_030_), + .Y(_133_)); + AND5x1_ASAP7_75t_R _331_ (.A(_033_), + .B(_036_), + .C(_039_), + .D(_004_), + .E(_133_), + .Y(_134_)); + AND4x1_ASAP7_75t_R _332_ (.A(_045_), + .B(_048_), + .C(_051_), + .D(_066_), + .Y(_135_)); + AND4x1_ASAP7_75t_R _333_ (.A(_054_), + .B(_057_), + .C(_060_), + .D(_063_), + .Y(_136_)); + AND3x1_ASAP7_75t_R _334_ (.A(_134_), + .B(_135_), + .C(_136_), + .Y(_137_)); + AO21x1_ASAP7_75t_R _335_ (.A1(_131_), + .A2(_137_), + .B(_019_), + .Y(_138_)); + NAND2x1_ASAP7_75t_R _336_ (.A(net33), + .B(net86), + .Y(_139_)); + AOI21x1_ASAP7_75t_R _337_ (.A1(_138_), + .A2(_139_), + .B(net34), + .Y(_002_)); + NAND2x1_ASAP7_75t_R _338_ (.A(_003_), + .B(_019_), + .Y(_140_)); + NOR2x1_ASAP7_75t_R _339_ (.A(_020_), + .B(_140_), + .Y(net53)); + INVx1_ASAP7_75t_R _340_ (.A(net33), + .Y(_141_)); + BUFx2_ASAP7_75t_L input10 (.A(req_msg[18]), + .Y(net10)); + AO221x1_ASAP7_75t_SL _342_ (.A1(_141_), + .A2(net86), + .B1(net53), + .B2(net35), + .C(net34), + .Y(_000_)); + INVx1_ASAP7_75t_R _343_ (.A(_019_), + .Y(_143_)); + AND3x1_ASAP7_75t_R _344_ (.A(_143_), + .B(_131_), + .C(_137_), + .Y(_144_)); + AND2x2_ASAP7_75t_SL _345_ (.A(_003_), + .B(_019_), + .Y(_145_)); + BUFx2_ASAP7_75t_L input9 (.A(req_msg[17]), + .Y(net9)); + AOI21x1_ASAP7_75t_R _347_ (.A1(net35), + .A2(_145_), + .B(_020_), + .Y(_147_)); + INVx1_ASAP7_75t_R _348_ (.A(net34), + .Y(_148_)); + OA21x2_ASAP7_75t_SL _349_ (.A1(_144_), + .A2(_147_), + .B(_148_), + .Y(_001_)); + INVx1_ASAP7_75t_R _350_ (.A(_005_), + .Y(\dpath.a_lt_b$in0[9] )); + INVx1_ASAP7_75t_R _351_ (.A(_006_), + .Y(\dpath.a_lt_b$in0[8] )); + INVx1_ASAP7_75t_R _352_ (.A(_007_), + .Y(\dpath.a_lt_b$in0[7] )); + INVx1_ASAP7_75t_R _353_ (.A(_008_), + .Y(\dpath.a_lt_b$in0[6] )); + INVx1_ASAP7_75t_R _354_ (.A(_009_), + .Y(\dpath.a_lt_b$in0[5] )); + INVx1_ASAP7_75t_R _355_ (.A(_010_), + .Y(\dpath.a_lt_b$in0[4] )); + INVx1_ASAP7_75t_R _356_ (.A(_011_), + .Y(\dpath.a_lt_b$in0[3] )); + INVx1_ASAP7_75t_R _357_ (.A(_012_), + .Y(\dpath.a_lt_b$in0[2] )); + INVx1_ASAP7_75t_R _358_ (.A(_022_), + .Y(\dpath.a_lt_b$in0[1] )); + INVx1_ASAP7_75t_R _359_ (.A(_013_), + .Y(\dpath.a_lt_b$in0[15] )); + INVx1_ASAP7_75t_R _360_ (.A(_014_), + .Y(\dpath.a_lt_b$in0[14] )); + INVx1_ASAP7_75t_R _361_ (.A(_015_), + .Y(\dpath.a_lt_b$in0[13] )); + INVx1_ASAP7_75t_R _362_ (.A(_016_), + .Y(\dpath.a_lt_b$in0[12] )); + INVx1_ASAP7_75t_R _363_ (.A(_017_), + .Y(\dpath.a_lt_b$in0[11] )); + INVx1_ASAP7_75t_R _364_ (.A(_018_), + .Y(\dpath.a_lt_b$in0[10] )); + AND2x2_ASAP7_75t_R _365_ (.A(_003_), + .B(_143_), + .Y(_149_)); + AND2x2_ASAP7_75t_R _366_ (.A(_131_), + .B(_149_), + .Y(_150_)); + NAND2x1_ASAP7_75t_R _367_ (.A(net37), + .B(_150_), + .Y(_151_)); + AO21x1_ASAP7_75t_SL _368_ (.A1(_040_), + .A2(_110_), + .B(_038_), + .Y(_152_)); + OA21x2_ASAP7_75t_SL _369_ (.A1(_117_), + .A2(_121_), + .B(_037_), + .Y(_153_)); + AND3x1_ASAP7_75t_SL _370_ (.A(_152_), + .B(_153_), + .C(_125_), + .Y(_154_)); + AND3x1_ASAP7_75t_R _371_ (.A(_025_), + .B(_003_), + .C(_143_), + .Y(_155_)); + OAI21x1_ASAP7_75t_SL _372_ (.A1(_154_), + .A2(_129_), + .B(_155_), + .Y(_156_)); + BUFx2_ASAP7_75t_L input8 (.A(req_msg[16]), + .Y(net8)); + NAND2x1_ASAP7_75t_R _374_ (.A(net86), + .B(net8), + .Y(_158_)); + OA211x2_ASAP7_75t_SL _375_ (.A1(_004_), + .A2(net83), + .B(_158_), + .C(_140_), + .Y(_159_)); + AOI22x1_ASAP7_75t_SL _376_ (.A1(_070_), + .A2(_145_), + .B1(_151_), + .B2(_159_), + .Y(_071_)); + BUFx2_ASAP7_75t_L input7 (.A(req_msg[15]), + .Y(net7)); + BUFx2_ASAP7_75t_L input6 (.A(req_msg[14]), + .Y(net6)); + NOR2x1_ASAP7_75t_R _379_ (.A(_039_), + .B(net83), + .Y(_162_)); + BUFx2_ASAP7_75t_L input5 (.A(req_msg[13]), + .Y(net5)); + AO21x1_ASAP7_75t_R _381_ (.A1(net86), + .A2(net19), + .B(_145_), + .Y(_164_)); + BUFx2_ASAP7_75t_L input4 (.A(req_msg[12]), + .Y(net4)); + OR2x2_ASAP7_75t_R _383_ (.A(_044_), + .B(_118_), + .Y(_166_)); + OA21x2_ASAP7_75t_SL _384_ (.A1(_117_), + .A2(_166_), + .B(_109_), + .Y(_167_)); + XOR2x2_ASAP7_75t_R _385_ (.A(_041_), + .B(_167_), + .Y(net38)); + AND3x1_ASAP7_75t_R _386_ (.A(_131_), + .B(_149_), + .C(net38), + .Y(_168_)); + OA33x2_ASAP7_75t_SL _387_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[10] ), + .A3(_143_), + .B1(_162_), + .B2(_164_), + .B3(_168_), + .Y(_072_)); + NAND2x1_ASAP7_75t_R _388_ (.A(net86), + .B(net20), + .Y(_169_)); + OA211x2_ASAP7_75t_SL _389_ (.A1(_036_), + .A2(net82), + .B(_169_), + .C(_140_), + .Y(_170_)); + OA21x2_ASAP7_75t_R _390_ (.A1(_041_), + .A2(_043_), + .B(_040_), + .Y(_171_)); + INVx3_ASAP7_75t_SL _391_ (.A(_069_), + .Y(_021_)); + OA21x2_ASAP7_75t_R _392_ (.A1(_021_), + .A2(_068_), + .B(_067_), + .Y(_172_)); + OR3x1_ASAP7_75t_R _393_ (.A(net85), + .B(net84), + .C(_065_), + .Y(_173_)); + OR3x1_ASAP7_75t_L _394_ (.A(net85), + .B(_064_), + .C(net84), + .Y(_174_)); + OA211x2_ASAP7_75t_SL _395_ (.A1(_172_), + .A2(_173_), + .B(_115_), + .C(_174_), + .Y(_175_)); + OR2x2_ASAP7_75t_R _396_ (.A(_056_), + .B(_118_), + .Y(_176_)); + OR2x2_ASAP7_75t_R _397_ (.A(_053_), + .B(_055_), + .Y(_177_)); + OR2x2_ASAP7_75t_R _398_ (.A(_047_), + .B(_050_), + .Y(_178_)); + AO21x1_ASAP7_75t_R _399_ (.A1(_052_), + .A2(_177_), + .B(_178_), + .Y(_179_)); + OA21x2_ASAP7_75t_SL _400_ (.A1(_175_), + .A2(_176_), + .B(_179_), + .Y(_180_)); + OA21x2_ASAP7_75t_R _401_ (.A1(_047_), + .A2(_049_), + .B(_046_), + .Y(_181_)); + AO21x2_ASAP7_75t_SL _402_ (.A1(_180_), + .A2(_181_), + .B(_120_), + .Y(_182_)); + INVx1_ASAP7_75t_R _403_ (.A(_038_), + .Y(_183_)); + AO21x1_ASAP7_75t_R _404_ (.A1(_171_), + .A2(_182_), + .B(_183_), + .Y(_184_)); + NAND3x1_ASAP7_75t_R _405_ (.A(_183_), + .B(_171_), + .C(_182_), + .Y(_185_)); + NAND2x1_ASAP7_75t_R _406_ (.A(_131_), + .B(_149_), + .Y(_186_)); + AO21x1_ASAP7_75t_R _407_ (.A1(_184_), + .A2(_185_), + .B(_186_), + .Y(_187_)); + AOI22x1_ASAP7_75t_SL _408_ (.A1(_017_), + .A2(_145_), + .B1(_170_), + .B2(_187_), + .Y(_073_)); + OR2x2_ASAP7_75t_R _409_ (.A(_003_), + .B(net21), + .Y(_188_)); + NAND2x1_ASAP7_75t_R _410_ (.A(_016_), + .B(_145_), + .Y(_189_)); + OAI21x1_ASAP7_75t_R _411_ (.A1(_033_), + .A2(_131_), + .B(_149_), + .Y(_190_)); + NAND2x1_ASAP7_75t_R _412_ (.A(_152_), + .B(_153_), + .Y(_191_)); + XNOR2x2_ASAP7_75t_R _413_ (.A(_035_), + .B(_191_), + .Y(net40)); + AO32x1_ASAP7_75t_SL _414_ (.A1(_188_), + .A2(_189_), + .A3(_190_), + .B1(net40), + .B2(_150_), + .Y(_074_)); + OA21x2_ASAP7_75t_R _415_ (.A1(_035_), + .A2(_037_), + .B(_034_), + .Y(_192_)); + AO21x1_ASAP7_75t_R _416_ (.A1(_038_), + .A2(_037_), + .B(_035_), + .Y(_193_)); + AO32x1_ASAP7_75t_SL _417_ (.A1(_171_), + .A2(_182_), + .A3(_192_), + .B1(_193_), + .B2(_034_), + .Y(_194_)); + XOR2x2_ASAP7_75t_SL _418_ (.A(_032_), + .B(_194_), + .Y(net41)); + BUFx2_ASAP7_75t_L input3 (.A(req_msg[11]), + .Y(net3)); + AOI21x1_ASAP7_75t_R _420_ (.A1(net86), + .A2(net22), + .B(_145_), + .Y(_196_)); + OAI21x1_ASAP7_75t_R _421_ (.A1(_030_), + .A2(net82), + .B(_196_), + .Y(_197_)); + NAND2x1_ASAP7_75t_R _422_ (.A(_015_), + .B(_145_), + .Y(_198_)); + AO32x1_ASAP7_75t_SL _423_ (.A1(_131_), + .A2(_149_), + .A3(net41), + .B1(_197_), + .B2(_198_), + .Y(_075_)); + OR2x2_ASAP7_75t_R _424_ (.A(_003_), + .B(net24), + .Y(_199_)); + NAND2x1_ASAP7_75t_R _425_ (.A(_014_), + .B(_145_), + .Y(_200_)); + OAI21x1_ASAP7_75t_R _426_ (.A1(_027_), + .A2(_131_), + .B(_149_), + .Y(_201_)); + AO32x1_ASAP7_75t_R _427_ (.A1(_152_), + .A2(_153_), + .A3(_123_), + .B1(_127_), + .B2(_031_), + .Y(_202_)); + XOR2x2_ASAP7_75t_SL _428_ (.A(_029_), + .B(_202_), + .Y(net42)); + AO32x1_ASAP7_75t_SL _429_ (.A1(_199_), + .A2(_200_), + .A3(_201_), + .B1(net42), + .B2(_150_), + .Y(_076_)); + OA21x2_ASAP7_75t_R _430_ (.A1(_032_), + .A2(_192_), + .B(_031_), + .Y(_203_)); + OA21x2_ASAP7_75t_R _431_ (.A1(_029_), + .A2(_203_), + .B(_171_), + .Y(_204_)); + AND3x1_ASAP7_75t_SL _432_ (.A(_180_), + .B(_181_), + .C(_204_), + .Y(_205_)); + AO21x1_ASAP7_75t_R _433_ (.A1(_034_), + .A2(_193_), + .B(_032_), + .Y(_206_)); + AND2x2_ASAP7_75t_R _434_ (.A(_120_), + .B(_171_), + .Y(_207_)); + AO221x1_ASAP7_75t_R _435_ (.A1(_031_), + .A2(_206_), + .B1(_207_), + .B2(_203_), + .C(_029_), + .Y(_208_)); + OA21x2_ASAP7_75t_R _436_ (.A1(_205_), + .A2(_208_), + .B(_028_), + .Y(_209_)); + XOR2x2_ASAP7_75t_SL _437_ (.A(_026_), + .B(_209_), + .Y(net43)); + NAND2x1_ASAP7_75t_R _438_ (.A(_013_), + .B(_145_), + .Y(_210_)); + OAI21x1_ASAP7_75t_R _439_ (.A1(_024_), + .A2(_131_), + .B(_149_), + .Y(_211_)); + OA211x2_ASAP7_75t_R _440_ (.A1(_003_), + .A2(net25), + .B(_210_), + .C(_211_), + .Y(_212_)); + AO21x1_ASAP7_75t_SL _441_ (.A1(_150_), + .A2(net43), + .B(_212_), + .Y(_077_)); + AND3x1_ASAP7_75t_R _442_ (.A(net44), + .B(_131_), + .C(_149_), + .Y(_213_)); + AO21x1_ASAP7_75t_R _443_ (.A1(net86), + .A2(net9), + .B(_145_), + .Y(_214_)); + BUFx2_ASAP7_75t_L input2 (.A(req_msg[10]), + .Y(net2)); + NOR2x1_ASAP7_75t_SL _445_ (.A(_066_), + .B(net83), + .Y(_216_)); + OA33x2_ASAP7_75t_SL _446_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[1] ), + .A3(_143_), + .B1(_213_), + .B2(_214_), + .B3(_216_), + .Y(_078_)); + XNOR2x2_ASAP7_75t_R _447_ (.A(_065_), + .B(_023_), + .Y(net45)); + AND3x1_ASAP7_75t_SL _448_ (.A(_131_), + .B(_149_), + .C(net45), + .Y(_217_)); + AO21x1_ASAP7_75t_R _449_ (.A1(net86), + .A2(net10), + .B(_145_), + .Y(_218_)); + NOR2x1_ASAP7_75t_SL _450_ (.A(_063_), + .B(net83), + .Y(_219_)); + OA33x2_ASAP7_75t_SL _451_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[2] ), + .A3(_143_), + .B1(_217_), + .B2(_218_), + .B3(_219_), + .Y(_079_)); + OA21x2_ASAP7_75t_R _452_ (.A1(_065_), + .A2(_172_), + .B(_064_), + .Y(_220_)); + XOR2x2_ASAP7_75t_R _453_ (.A(net84), + .B(_220_), + .Y(net46)); + AND3x1_ASAP7_75t_SL _454_ (.A(_131_), + .B(_149_), + .C(net46), + .Y(_221_)); + AO21x1_ASAP7_75t_R _455_ (.A1(net86), + .A2(net11), + .B(_145_), + .Y(_222_)); + NOR2x1_ASAP7_75t_SL _456_ (.A(_060_), + .B(net83), + .Y(_223_)); + OA33x2_ASAP7_75t_SL _457_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[3] ), + .A3(_143_), + .B1(_221_), + .B2(_222_), + .B3(_223_), + .Y(_080_)); + OA21x2_ASAP7_75t_R _458_ (.A1(net84), + .A2(_113_), + .B(_061_), + .Y(_224_)); + XOR2x2_ASAP7_75t_R _459_ (.A(net85), + .B(_224_), + .Y(net47)); + AND3x1_ASAP7_75t_R _460_ (.A(_131_), + .B(_149_), + .C(net47), + .Y(_225_)); + AO21x1_ASAP7_75t_R _461_ (.A1(net86), + .A2(net13), + .B(_145_), + .Y(_226_)); + NOR2x1_ASAP7_75t_SL _462_ (.A(_057_), + .B(net83), + .Y(_227_)); + OA33x2_ASAP7_75t_SL _463_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[4] ), + .A3(_143_), + .B1(_225_), + .B2(_226_), + .B3(_227_), + .Y(_081_)); + XOR2x2_ASAP7_75t_R _464_ (.A(_056_), + .B(_175_), + .Y(net48)); + AND3x1_ASAP7_75t_R _465_ (.A(_131_), + .B(_149_), + .C(net48), + .Y(_228_)); + AO21x1_ASAP7_75t_R _466_ (.A1(net86), + .A2(net14), + .B(_145_), + .Y(_229_)); + NOR2x1_ASAP7_75t_SL _467_ (.A(_054_), + .B(net83), + .Y(_230_)); + OA33x2_ASAP7_75t_SL _468_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[5] ), + .A3(_143_), + .B1(_228_), + .B2(_229_), + .B3(_230_), + .Y(_082_)); + XOR2x2_ASAP7_75t_R _469_ (.A(_053_), + .B(_117_), + .Y(net49)); + AND3x1_ASAP7_75t_R _470_ (.A(_131_), + .B(_149_), + .C(net49), + .Y(_231_)); + AO21x1_ASAP7_75t_R _471_ (.A1(net86), + .A2(net15), + .B(_145_), + .Y(_232_)); + NOR2x1_ASAP7_75t_SL _472_ (.A(_051_), + .B(net83), + .Y(_233_)); + OA33x2_ASAP7_75t_SL _473_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[6] ), + .A3(_143_), + .B1(_231_), + .B2(_232_), + .B3(_233_), + .Y(_083_)); + OR3x1_ASAP7_75t_SL _474_ (.A(_053_), + .B(_056_), + .C(_175_), + .Y(_234_)); + AND3x1_ASAP7_75t_SL _475_ (.A(_052_), + .B(_177_), + .C(_234_), + .Y(_235_)); + XOR2x2_ASAP7_75t_R _476_ (.A(_050_), + .B(_235_), + .Y(net50)); + AND2x2_ASAP7_75t_SL _477_ (.A(_150_), + .B(net50), + .Y(_236_)); + AO21x1_ASAP7_75t_R _478_ (.A1(net86), + .A2(net16), + .B(_145_), + .Y(_237_)); + NOR2x1_ASAP7_75t_R _479_ (.A(_048_), + .B(net83), + .Y(_238_)); + OA33x2_ASAP7_75t_SL _480_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[7] ), + .A3(_143_), + .B1(_236_), + .B2(_237_), + .B3(_238_), + .Y(_084_)); + NOR2x1_ASAP7_75t_SL _481_ (.A(_045_), + .B(net83), + .Y(_239_)); + AO21x1_ASAP7_75t_R _482_ (.A1(net86), + .A2(net17), + .B(_145_), + .Y(_240_)); + OA21x2_ASAP7_75t_SL _483_ (.A1(_053_), + .A2(_117_), + .B(_052_), + .Y(_241_)); + OA21x2_ASAP7_75t_SL _484_ (.A1(_050_), + .A2(_241_), + .B(_049_), + .Y(_242_)); + XOR2x2_ASAP7_75t_L _485_ (.A(_047_), + .B(_242_), + .Y(net51)); + AND3x1_ASAP7_75t_SL _486_ (.A(_131_), + .B(_149_), + .C(net51), + .Y(_243_)); + OA33x2_ASAP7_75t_SL _487_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[8] ), + .A3(_143_), + .B1(_239_), + .B2(_240_), + .B3(_243_), + .Y(_085_)); + NOR2x1_ASAP7_75t_R _488_ (.A(_042_), + .B(net83), + .Y(_244_)); + AO21x1_ASAP7_75t_R _489_ (.A1(net86), + .A2(net18), + .B(_145_), + .Y(_245_)); + NAND2x1_ASAP7_75t_R _490_ (.A(_180_), + .B(_181_), + .Y(_246_)); + XNOR2x2_ASAP7_75t_R _491_ (.A(_044_), + .B(_246_), + .Y(net52)); + AND2x2_ASAP7_75t_SL _492_ (.A(_150_), + .B(net52), + .Y(_247_)); + OA33x2_ASAP7_75t_SL _493_ (.A1(net86), + .A2(\dpath.a_lt_b$in0[9] ), + .A3(_143_), + .B1(_244_), + .B2(_245_), + .B3(_247_), + .Y(_086_)); + BUFx2_ASAP7_75t_L input1 (.A(req_msg[0]), + .Y(net1)); + AND2x2_ASAP7_75t_R _495_ (.A(_003_), + .B(\dpath.a_lt_b$in1[0] ), + .Y(_249_)); + AO21x1_ASAP7_75t_R _496_ (.A1(net86), + .A2(net1), + .B(_249_), + .Y(_250_)); + NOR2x1_ASAP7_75t_R _497_ (.A(_070_), + .B(net83), + .Y(_251_)); + AO21x1_ASAP7_75t_SL _498_ (.A1(net83), + .A2(_250_), + .B(_251_), + .Y(_087_)); + NOR2x1_ASAP7_75t_R _499_ (.A(\dpath.a_lt_b$in0[10] ), + .B(net83), + .Y(_252_)); + NAND2x1_ASAP7_75t_R _500_ (.A(net86), + .B(net2), + .Y(_253_)); + OA211x2_ASAP7_75t_SL _501_ (.A1(net86), + .A2(_039_), + .B(net83), + .C(_253_), + .Y(_254_)); + NOR2x2_ASAP7_75t_SL _502_ (.A(_252_), + .B(_254_), + .Y(_088_)); + NOR2x1_ASAP7_75t_R _503_ (.A(\dpath.a_lt_b$in0[11] ), + .B(net82), + .Y(_255_)); + NAND2x1_ASAP7_75t_R _504_ (.A(net86), + .B(net3), + .Y(_256_)); + OA211x2_ASAP7_75t_SL _505_ (.A1(net86), + .A2(_036_), + .B(net82), + .C(_256_), + .Y(_257_)); + NOR2x2_ASAP7_75t_SL _506_ (.A(_255_), + .B(_257_), + .Y(_089_)); + NOR2x1_ASAP7_75t_R _507_ (.A(\dpath.a_lt_b$in0[12] ), + .B(net82), + .Y(_258_)); + NAND2x1_ASAP7_75t_R _508_ (.A(net86), + .B(net4), + .Y(_259_)); + OA211x2_ASAP7_75t_SL _509_ (.A1(net86), + .A2(_033_), + .B(net82), + .C(_259_), + .Y(_260_)); + NOR2x2_ASAP7_75t_SL _510_ (.A(_258_), + .B(_260_), + .Y(_090_)); + NOR2x1_ASAP7_75t_R _511_ (.A(\dpath.a_lt_b$in0[13] ), + .B(net82), + .Y(_261_)); + NAND2x1_ASAP7_75t_R _512_ (.A(net86), + .B(net5), + .Y(_262_)); + OA211x2_ASAP7_75t_SL _513_ (.A1(net86), + .A2(_030_), + .B(net82), + .C(_262_), + .Y(_263_)); + NOR2x2_ASAP7_75t_SL _514_ (.A(_261_), + .B(_263_), + .Y(_091_)); + NOR2x1_ASAP7_75t_R _515_ (.A(\dpath.a_lt_b$in0[14] ), + .B(net82), + .Y(_264_)); + NAND2x1_ASAP7_75t_R _516_ (.A(net86), + .B(net6), + .Y(_265_)); + OA211x2_ASAP7_75t_SL _517_ (.A1(net86), + .A2(_027_), + .B(net82), + .C(_265_), + .Y(_266_)); + NOR2x2_ASAP7_75t_SL _518_ (.A(_264_), + .B(_266_), + .Y(_092_)); + NOR2x1_ASAP7_75t_R _519_ (.A(\dpath.a_lt_b$in0[15] ), + .B(net82), + .Y(_267_)); + NAND2x1_ASAP7_75t_R _520_ (.A(net86), + .B(net7), + .Y(_268_)); + OA211x2_ASAP7_75t_SL _521_ (.A1(net86), + .A2(_024_), + .B(net82), + .C(_268_), + .Y(_269_)); + NOR2x2_ASAP7_75t_SL _522_ (.A(_267_), + .B(_269_), + .Y(_093_)); + AND2x2_ASAP7_75t_R _523_ (.A(_003_), + .B(\dpath.a_lt_b$in1[1] ), + .Y(_270_)); + AO21x1_ASAP7_75t_R _524_ (.A1(net86), + .A2(net12), + .B(_270_), + .Y(_271_)); + NOR2x1_ASAP7_75t_R _525_ (.A(_022_), + .B(net83), + .Y(_272_)); + AO21x1_ASAP7_75t_SL _526_ (.A1(net83), + .A2(_271_), + .B(_272_), + .Y(_094_)); + NOR2x1_ASAP7_75t_R _527_ (.A(\dpath.a_lt_b$in0[2] ), + .B(net83), + .Y(_273_)); + NAND2x1_ASAP7_75t_R _528_ (.A(net86), + .B(net23), + .Y(_274_)); + OA211x2_ASAP7_75t_SL _529_ (.A1(net86), + .A2(_063_), + .B(net83), + .C(_274_), + .Y(_275_)); + NOR2x2_ASAP7_75t_SL _530_ (.A(_273_), + .B(_275_), + .Y(_095_)); + NOR2x1_ASAP7_75t_R _531_ (.A(\dpath.a_lt_b$in0[3] ), + .B(net83), + .Y(_276_)); + NAND2x1_ASAP7_75t_R _532_ (.A(net86), + .B(net26), + .Y(_277_)); + OA211x2_ASAP7_75t_SL _533_ (.A1(net86), + .A2(_060_), + .B(net83), + .C(_277_), + .Y(_278_)); + NOR2x2_ASAP7_75t_SL _534_ (.A(_276_), + .B(_278_), + .Y(_096_)); + NOR2x1_ASAP7_75t_R _535_ (.A(\dpath.a_lt_b$in0[4] ), + .B(net83), + .Y(_279_)); + NAND2x1_ASAP7_75t_R _536_ (.A(net86), + .B(net27), + .Y(_280_)); + OA211x2_ASAP7_75t_SL _537_ (.A1(net86), + .A2(_057_), + .B(net83), + .C(_280_), + .Y(_281_)); + NOR2x2_ASAP7_75t_SL _538_ (.A(_279_), + .B(_281_), + .Y(_097_)); + NOR2x1_ASAP7_75t_R _539_ (.A(\dpath.a_lt_b$in0[5] ), + .B(net83), + .Y(_282_)); + NAND2x1_ASAP7_75t_R _540_ (.A(net86), + .B(net28), + .Y(_283_)); + OA211x2_ASAP7_75t_R _541_ (.A1(net86), + .A2(_054_), + .B(net83), + .C(_283_), + .Y(_284_)); + NOR2x1_ASAP7_75t_SL _542_ (.A(_282_), + .B(_284_), + .Y(_098_)); + NOR2x1_ASAP7_75t_R _543_ (.A(\dpath.a_lt_b$in0[6] ), + .B(net83), + .Y(_285_)); + NAND2x1_ASAP7_75t_R _544_ (.A(net86), + .B(net29), + .Y(_286_)); + OA211x2_ASAP7_75t_R _545_ (.A1(net86), + .A2(_051_), + .B(net83), + .C(_286_), + .Y(_287_)); + NOR2x1_ASAP7_75t_SL _546_ (.A(_285_), + .B(_287_), + .Y(_099_)); + NOR2x1_ASAP7_75t_R _547_ (.A(\dpath.a_lt_b$in0[7] ), + .B(net83), + .Y(_288_)); + NAND2x1_ASAP7_75t_R _548_ (.A(net86), + .B(net30), + .Y(_289_)); + OA211x2_ASAP7_75t_R _549_ (.A1(net86), + .A2(_048_), + .B(net83), + .C(_289_), + .Y(_290_)); + NOR2x1_ASAP7_75t_SL _550_ (.A(_288_), + .B(_290_), + .Y(_100_)); + NOR2x1_ASAP7_75t_R _551_ (.A(\dpath.a_lt_b$in0[8] ), + .B(net83), + .Y(_291_)); + NAND2x1_ASAP7_75t_R _552_ (.A(net86), + .B(net31), + .Y(_292_)); + OA211x2_ASAP7_75t_R _553_ (.A1(net86), + .A2(_045_), + .B(net83), + .C(_292_), + .Y(_293_)); + NOR2x1_ASAP7_75t_SL _554_ (.A(_291_), + .B(_293_), + .Y(_101_)); + NOR2x1_ASAP7_75t_R _555_ (.A(\dpath.a_lt_b$in0[9] ), + .B(net83), + .Y(_294_)); + NAND2x1_ASAP7_75t_R _556_ (.A(net86), + .B(net32), + .Y(_295_)); + OA211x2_ASAP7_75t_R _557_ (.A1(net86), + .A2(_042_), + .B(net83), + .C(_295_), + .Y(_296_)); + NOR2x1_ASAP7_75t_SL _558_ (.A(_294_), + .B(_296_), + .Y(_102_)); + NAND2x1_ASAP7_75t_R _559_ (.A(_184_), + .B(_185_), + .Y(net39)); + FAx1_ASAP7_75t_R _560_ (.SN(net44), + .A(_021_), + .B(\dpath.a_lt_b$in1[1] ), + .CI(_022_), + .CON(_023_)); + HAxp5_ASAP7_75t_R _561_ (.A(_024_), + .B(\dpath.a_lt_b$in0[15] ), + .CON(_025_), + .SN(_026_)); + HAxp5_ASAP7_75t_R _562_ (.A(_027_), + .B(\dpath.a_lt_b$in0[14] ), + .CON(_028_), + .SN(_029_)); + HAxp5_ASAP7_75t_R _563_ (.A(_030_), + .B(\dpath.a_lt_b$in0[13] ), + .CON(_031_), + .SN(_032_)); + HAxp5_ASAP7_75t_R _564_ (.A(_033_), + .B(\dpath.a_lt_b$in0[12] ), + .CON(_034_), + .SN(_035_)); + HAxp5_ASAP7_75t_R _565_ (.A(_036_), + .B(\dpath.a_lt_b$in0[11] ), + .CON(_037_), + .SN(_038_)); + HAxp5_ASAP7_75t_R _566_ (.A(_039_), + .B(\dpath.a_lt_b$in0[10] ), + .CON(_040_), + .SN(_041_)); + HAxp5_ASAP7_75t_R _567_ (.A(_042_), + .B(\dpath.a_lt_b$in0[9] ), + .CON(_043_), + .SN(_044_)); + HAxp5_ASAP7_75t_R _568_ (.A(_045_), + .B(\dpath.a_lt_b$in0[8] ), + .CON(_046_), + .SN(_047_)); + HAxp5_ASAP7_75t_R _569_ (.A(_048_), + .B(\dpath.a_lt_b$in0[7] ), + .CON(_049_), + .SN(_050_)); + HAxp5_ASAP7_75t_R _570_ (.A(_051_), + .B(\dpath.a_lt_b$in0[6] ), + .CON(_052_), + .SN(_053_)); + HAxp5_ASAP7_75t_R _571_ (.A(_054_), + .B(\dpath.a_lt_b$in0[5] ), + .CON(_055_), + .SN(_056_)); + HAxp5_ASAP7_75t_R _572_ (.A(_057_), + .B(\dpath.a_lt_b$in0[4] ), + .CON(_058_), + .SN(_059_)); + HAxp5_ASAP7_75t_R _573_ (.A(_060_), + .B(\dpath.a_lt_b$in0[3] ), + .CON(_061_), + .SN(_062_)); + HAxp5_ASAP7_75t_R _574_ (.A(_063_), + .B(\dpath.a_lt_b$in0[2] ), + .CON(_064_), + .SN(_065_)); + HAxp5_ASAP7_75t_R _575_ (.A(_066_), + .B(\dpath.a_lt_b$in0[1] ), + .CON(_067_), + .SN(_068_)); + HAxp5_ASAP7_75t_R _576_ (.A(\dpath.a_lt_b$in1[0] ), + .B(_070_), + .CON(_069_), + .SN(net37)); + DFFHQNx1_ASAP7_75t_SL \ctrl.state.out[0]$_DFF_P_ (.CLK(clknet_2_3__leaf_clk), + .D(_000_), + .QN(_003_)); + DFFHQNx1_ASAP7_75t_SL \ctrl.state.out[1]$_DFF_P_ (.CLK(clknet_2_3__leaf_clk), + .D(_001_), + .QN(_020_)); + DFFHQNx1_ASAP7_75t_SL \ctrl.state.out[2]$_DFF_P_ (.CLK(clknet_2_3__leaf_clk), + .D(_002_), + .QN(_019_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[0]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_071_), + .QN(_070_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[10]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_072_), + .QN(_018_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[11]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_073_), + .QN(_017_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[12]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_074_), + .QN(_016_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[13]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_075_), + .QN(_015_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[14]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_076_), + .QN(_014_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[15]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_077_), + .QN(_013_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[1]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_078_), + .QN(_022_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[2]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_079_), + .QN(_012_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[3]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_080_), + .QN(_011_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[4]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_081_), + .QN(_010_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[5]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_082_), + .QN(_009_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[6]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_083_), + .QN(_008_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[7]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_084_), + .QN(_007_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[8]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_085_), + .QN(_006_)); + DFFHQNx1_ASAP7_75t_SL \dpath.a_reg.out[9]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_086_), + .QN(_005_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[0]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_087_), + .QN(_004_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[10]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_088_), + .QN(_039_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[11]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_089_), + .QN(_036_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[12]$_DFFE_PP_ (.CLK(clknet_2_3__leaf_clk), + .D(_090_), + .QN(_033_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[13]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_091_), + .QN(_030_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[14]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_092_), + .QN(_027_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[15]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_093_), + .QN(_024_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[1]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_094_), + .QN(_066_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[2]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_095_), + .QN(_063_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[3]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_096_), + .QN(_060_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[4]$_DFFE_PP_ (.CLK(clknet_2_1__leaf_clk), + .D(_097_), + .QN(_057_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[5]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_098_), + .QN(_054_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[6]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_099_), + .QN(_051_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[7]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_100_), + .QN(_048_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[8]$_DFFE_PP_ (.CLK(clknet_2_0__leaf_clk), + .D(_101_), + .QN(_045_)); + DFFHQNx1_ASAP7_75t_SL \dpath.b_reg.out[9]$_DFFE_PP_ (.CLK(clknet_2_2__leaf_clk), + .D(_102_), + .QN(_042_)); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_0_Right_0 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_1_Right_1 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_2_Right_2 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_3_Right_3 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_4_Right_4 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_5_Right_5 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_6_Right_6 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_7_Right_7 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_8_Right_8 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_9_Right_9 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_10_Right_10 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_11_Right_11 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_12_Right_12 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_13_Right_13 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_14_Right_14 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_15_Right_15 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_16_Right_16 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_17_Right_17 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_18_Right_18 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_19_Right_19 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_20_Right_20 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_21_Right_21 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_22_Right_22 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_23_Right_23 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_24_Right_24 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_25_Right_25 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_26_Right_26 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_27_Right_27 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_28_Right_28 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_29_Right_29 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_30_Right_30 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_31_Right_31 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_32_Right_32 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_33_Right_33 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_34_Right_34 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_35_Right_35 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_36_Right_36 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_37_Right_37 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_38_Right_38 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_39_Right_39 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_40_Right_40 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_41_Right_41 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_42_Right_42 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_43_Right_43 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_44_Right_44 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_45_Right_45 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_46_Right_46 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_47_Right_47 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_48_Right_48 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_49_Right_49 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_50_Right_50 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_51_Right_51 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_0_Left_52 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_1_Left_53 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_2_Left_54 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_3_Left_55 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_4_Left_56 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_5_Left_57 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_6_Left_58 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_7_Left_59 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_8_Left_60 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_9_Left_61 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_10_Left_62 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_11_Left_63 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_12_Left_64 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_13_Left_65 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_14_Left_66 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_15_Left_67 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_16_Left_68 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_17_Left_69 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_18_Left_70 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_19_Left_71 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_20_Left_72 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_21_Left_73 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_22_Left_74 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_23_Left_75 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_24_Left_76 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_25_Left_77 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_26_Left_78 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_27_Left_79 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_28_Left_80 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_29_Left_81 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_30_Left_82 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_31_Left_83 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_32_Left_84 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_33_Left_85 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_34_Left_86 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_35_Left_87 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_36_Left_88 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_37_Left_89 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_38_Left_90 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_39_Left_91 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_40_Left_92 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_41_Left_93 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_42_Left_94 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_43_Left_95 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_44_Left_96 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_45_Left_97 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_46_Left_98 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_47_Left_99 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_48_Left_100 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_49_Left_101 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_50_Left_102 (); + TAPCELL_ASAP7_75t_R PHY_EDGE_ROW_51_Left_103 (); + BUFx2_ASAP7_75t_L input16 (.A(req_msg[23]), + .Y(net16)); + BUFx2_ASAP7_75t_L input17 (.A(req_msg[24]), + .Y(net17)); + BUFx2_ASAP7_75t_L input18 (.A(req_msg[25]), + .Y(net18)); + BUFx2_ASAP7_75t_L input19 (.A(req_msg[26]), + .Y(net19)); + BUFx2_ASAP7_75t_L input20 (.A(req_msg[27]), + .Y(net20)); + BUFx2_ASAP7_75t_L input21 (.A(req_msg[28]), + .Y(net21)); + BUFx2_ASAP7_75t_L input22 (.A(req_msg[29]), + .Y(net22)); + BUFx2_ASAP7_75t_L input23 (.A(req_msg[2]), + .Y(net23)); + BUFx2_ASAP7_75t_L input24 (.A(req_msg[30]), + .Y(net24)); + BUFx2_ASAP7_75t_L input25 (.A(req_msg[31]), + .Y(net25)); + BUFx2_ASAP7_75t_L input26 (.A(req_msg[3]), + .Y(net26)); + BUFx2_ASAP7_75t_L input27 (.A(req_msg[4]), + .Y(net27)); + BUFx2_ASAP7_75t_L input28 (.A(req_msg[5]), + .Y(net28)); + BUFx2_ASAP7_75t_L input29 (.A(req_msg[6]), + .Y(net29)); + BUFx2_ASAP7_75t_L input30 (.A(req_msg[7]), + .Y(net30)); + BUFx2_ASAP7_75t_L input31 (.A(req_msg[8]), + .Y(net31)); + BUFx2_ASAP7_75t_L input32 (.A(req_msg[9]), + .Y(net32)); + BUFx2_ASAP7_75t_L input33 (.A(req_val), + .Y(net33)); + BUFx2_ASAP7_75t_L input34 (.A(reset), + .Y(net34)); + BUFx2_ASAP7_75t_L input35 (.A(resp_rdy), + .Y(net35)); + BUFx2_ASAP7_75t_L output36 (.A(net86), + .Y(req_rdy)); + BUFx2_ASAP7_75t_L output37 (.A(net37), + .Y(resp_msg[0])); + BUFx2_ASAP7_75t_L output38 (.A(net38), + .Y(resp_msg[10])); + BUFx2_ASAP7_75t_L output39 (.A(net39), + .Y(resp_msg[11])); + BUFx2_ASAP7_75t_L output40 (.A(net40), + .Y(resp_msg[12])); + BUFx2_ASAP7_75t_L output41 (.A(net41), + .Y(resp_msg[13])); + BUFx2_ASAP7_75t_L output42 (.A(net42), + .Y(resp_msg[14])); + BUFx2_ASAP7_75t_L output43 (.A(net43), + .Y(resp_msg[15])); + BUFx2_ASAP7_75t_L output44 (.A(net44), + .Y(resp_msg[1])); + BUFx2_ASAP7_75t_L output45 (.A(net45), + .Y(resp_msg[2])); + BUFx2_ASAP7_75t_L output46 (.A(net46), + .Y(resp_msg[3])); + BUFx2_ASAP7_75t_L output47 (.A(net47), + .Y(resp_msg[4])); + BUFx2_ASAP7_75t_L output48 (.A(net48), + .Y(resp_msg[5])); + BUFx2_ASAP7_75t_L output49 (.A(net49), + .Y(resp_msg[6])); + BUFx2_ASAP7_75t_L output50 (.A(net50), + .Y(resp_msg[7])); + BUFx2_ASAP7_75t_L output51 (.A(net51), + .Y(resp_msg[8])); + BUFx2_ASAP7_75t_L output52 (.A(net52), + .Y(resp_msg[9])); + BUFx2_ASAP7_75t_L output53 (.A(net53), + .Y(resp_val)); + BUFx6f_ASAP7_75t_L place83 (.A(_156_), + .Y(net83)); + BUFx3_ASAP7_75t_L place82 (.A(_156_), + .Y(net82)); + BUFx3_ASAP7_75t_L place84 (.A(_062_), + .Y(net84)); + BUFx3_ASAP7_75t_L place85 (.A(_059_), + .Y(net85)); + BUFx2_ASAP7_75t_R clkload2 (.A(clknet_2_3__leaf_clk)); + BUFx10_ASAP7_75t_SL clkload1 (.A(clknet_2_2__leaf_clk)); + BUFx2_ASAP7_75t_R clkload0 (.A(clknet_2_0__leaf_clk)); + BUFx4_ASAP7_75t_R clkbuf_2_3__f_clk (.A(clknet_0_clk), + .Y(clknet_2_3__leaf_clk)); + BUFx4_ASAP7_75t_R clkbuf_2_2__f_clk (.A(clknet_0_clk), + .Y(clknet_2_2__leaf_clk)); + BUFx4_ASAP7_75t_R clkbuf_2_1__f_clk (.A(clknet_0_clk), + .Y(clknet_2_1__leaf_clk)); + BUFx3_ASAP7_75t_L place86 (.A(net36), + .Y(net86)); + BUFx4_ASAP7_75t_R clkbuf_2_0__f_clk (.A(clknet_0_clk), + .Y(clknet_2_0__leaf_clk)); + BUFx4_ASAP7_75t_R clkbuf_0_clk (.A(clk), + .Y(clknet_0_clk)); +endmodule diff --git a/src/rsz/test/repair_setup_vt_swap.ok b/src/rsz/test/repair_setup_vt_swap.ok new file mode 100644 index 00000000000..4194dea8ecd --- /dev/null +++ b/src/rsz/test/repair_setup_vt_swap.ok @@ -0,0 +1,104 @@ +[INFO ODB-0227] LEF file: Nangate45/Nangate45.lef, created 22 layers, 27 vias, 135 library cells +[INFO ODB-0128] Design: reg1 +[INFO ODB-0130] Created 1 pins. +[INFO ODB-0131] Created 14 components and 70 component-terminals. +[INFO ODB-0132] Created 2 special nets and 28 connections. +[INFO ODB-0133] Created 9 nets and 28 connections. +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r2 (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + + Delay Time Description +----------------------------------------------------------- + 0.000 0.000 clock clk (rise edge) + 0.000 0.000 clock network delay (ideal) + 0.000 0.000 ^ r1/CK (DFF_X1) + 0.191 0.191 ^ r1/Q (DFF_X1) + 0.017 0.208 ^ u1/A (BUF_X8) + 0.029 0.238 ^ u1/Z (BUF_X8) + 0.002 0.239 ^ u2/A (BUF_X1) + 0.038 0.278 ^ u2/Z (BUF_X1) + 0.002 0.280 ^ u3/A (BUF_X1) + 0.042 0.322 ^ u3/Z (BUF_X1) + 0.002 0.323 ^ u4/A (BUF_X1) + 0.042 0.365 ^ u4/Z (BUF_X1) + 0.002 0.367 ^ u5/A (BUF_X1) + 0.116 0.483 ^ u5/Z (BUF_X1) + 0.049 0.532 ^ r2/D (DFF_X1) + 0.532 data arrival time + + 0.350 0.350 clock clk (rise edge) + 0.000 0.350 clock network delay (ideal) + 0.000 0.350 clock reconvergence pessimism + 0.350 ^ r2/CK (DFF_X1) + -0.048 0.302 library setup time + 0.302 data required time +----------------------------------------------------------- + 0.302 data required time + -0.532 data arrival time +----------------------------------------------------------- + -0.230 slack (VIOLATED) + + +[INFO RSZ-0100] Repair move sequence: +[INFO RSZ-0094] Found 1 endpoints with setup violations. +[INFO RSZ-0099] Repairing 1 out of 1 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | Area | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | | Endpts | Endpt +-------------------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | +0.0% | -0.230 | -0.2 | 1 | r2/D + 1 | 0 | 0 | 0 | 0 | 0 | +0.0% | -0.230 | -0.2 | 1 | r2/D + final | 0 | 10 | 0 | 0 | 0 | +26.7% | -0.032 | -0.0 | 1 | r2/D +-------------------------------------------------------------------------------------------------------------- +[INFO RSZ-0051] Resized 10 instances: 8 up, 2 up match, 0 down, 0 VT +[WARNING RSZ-0062] Unable to repair all setup violations. +Repair timing output passed/skipped equivalence test +Startpoint: r1 (rising edge-triggered flip-flop clocked by clk) +Endpoint: r2 (rising edge-triggered flip-flop clocked by clk) +Path Group: clk +Path Type: max + + Delay Time Description +----------------------------------------------------------- + 0.000 0.000 clock clk (rise edge) + 0.000 0.000 clock network delay (ideal) + 0.000 0.000 ^ r1/CK (DFF_X2) + 0.152 0.152 ^ r1/Q (DFF_X2) + 0.017 0.169 ^ u1/A (BUF_X8) + 0.029 0.198 ^ u1/Z (BUF_X8) + 0.004 0.202 ^ u2/A (BUF_X8) + 0.021 0.222 ^ u2/Z (BUF_X8) + 0.004 0.226 ^ u3/A (BUF_X8) + 0.019 0.245 ^ u3/Z (BUF_X8) + 0.003 0.248 ^ u4/A (BUF_X4) + 0.025 0.273 ^ u4/Z (BUF_X4) + 0.006 0.279 ^ u5/A (BUF_X16) + 0.023 0.302 ^ u5/Z (BUF_X16) + 0.038 0.340 ^ r2/D (DFF_X1) + 0.340 data arrival time + + 0.350 0.350 clock clk (rise edge) + 0.000 0.350 clock network delay (ideal) + 0.000 0.350 clock reconvergence pessimism + 0.350 ^ r2/CK (DFF_X1) + -0.042 0.308 library setup time + 0.308 data required time +----------------------------------------------------------- + 0.308 data required time + -0.340 data arrival time +----------------------------------------------------------- + -0.032 slack (VIOLATED) + + +[INFO RSZ-0100] Repair move sequence: UnbufferMove SizeUpMove SwapPinsMove BufferMove CloneMove SplitLoadMove +[INFO RSZ-0094] Found 1 endpoints with setup violations. +[INFO RSZ-0099] Repairing 1 out of 1 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | Area | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | | Endpts | Endpt +-------------------------------------------------------------------------------------------------------------- + 0 | 0 | 10 | 0 | 0 | 0 | +0.0% | -0.032 | -0.0 | 1 | r2/D + final | 0 | 10 | 2 | 0 | 0 | +3.1% | 0.016 | 0.0 | 0 | r2/D +-------------------------------------------------------------------------------------------------------------- +[INFO RSZ-0040] Inserted 2 buffers. +[INFO RSZ-0051] Resized 10 instances: 8 up, 2 up match, 0 down, 0 VT diff --git a/src/rsz/test/repair_setup_vt_swap.tcl b/src/rsz/test/repair_setup_vt_swap.tcl new file mode 100644 index 00000000000..7d8fb6e5e43 --- /dev/null +++ b/src/rsz/test/repair_setup_vt_swap.tcl @@ -0,0 +1,26 @@ +# Test for sizeup_match which matches +# drive strength with prev stage +source "helpers.tcl" +if { ![info exists repair_args] } { + set repair_args {} +} +read_liberty Nangate45/Nangate45_typ.lib +read_lef Nangate45/Nangate45.lef +read_def repair_setup_sizedown.def +create_clock -period 0.35 clk +set_load 1.0 [all_outputs] + +source Nangate45/Nangate45.rc +set_wire_rc -layer metal3 +estimate_parasitics -placement +report_checks -fields input -digits 3 + +write_verilog_for_eqy repair_setup_sizeup before "None" +set_dont_use [get_lib_cells CLKBUF*] + +#set_debug_level RSZ opt_moves 1 +repair_timing -setup -sequence "vt_swap" +run_equivalence_test repair_setup_sizeup ./Nangate45/work_around_yosys/ "None" +report_checks -fields input -digits 3 + +repair_timing -setup -skip_vt_swap diff --git a/src/rsz/test/repair_setup_vt_swap2.ok b/src/rsz/test/repair_setup_vt_swap2.ok new file mode 100644 index 00000000000..75c53b4e61c --- /dev/null +++ b/src/rsz/test/repair_setup_vt_swap2.ok @@ -0,0 +1,556 @@ +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13178, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13211, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13244, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13277, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13310, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13343, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 13376, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14772, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14805, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz line 14838, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13156, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13189, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13222, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13255, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13288, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13321, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 13354, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 14748, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 14781, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz line 14814, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13156, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13189, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13222, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13255, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13288, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13321, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 13354, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 14748, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 14781, timing group from output port. +[WARNING STA-1212] asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz line 14814, timing group from output port. +[INFO ODB-0227] LEF file: asap7/asap7_tech_1x_201209.lef, created 30 layers, 9 vias +[INFO ODB-0227] LEF file: asap7/asap7sc7p5t_28_R_1x_220121a.lef, created 212 library cells +[INFO ODB-0394] Duplicate site asap7sc7p5t in asap7sc7p5t_28_L_1x_220121a already seen in asap7sc7p5t_28_R_1x_220121a +[INFO ODB-0227] LEF file: asap7/asap7sc7p5t_28_L_1x_220121a.lef, created 212 library cells +[INFO ODB-0394] Duplicate site asap7sc7p5t in asap7sc7p5t_28_SL_1x_220121a already seen in asap7sc7p5t_28_R_1x_220121a +[INFO ODB-0227] LEF file: asap7/asap7sc7p5t_28_SL_1x_220121a.lef, created 212 library cells +[WARNING ORD-2011] LEF master TAPCELL_ASAP7_75t_R has no liberty cell. +[INFO RSZ-0100] Repair move sequence: UnbufferMove VTSwapSpeed SizeUpMove SwapPinsMove BufferMove CloneMove SplitLoadMove +[INFO RSZ-0094] Found 44 endpoints with setup violations. +[INFO RSZ-0099] Repairing 44 out of 44 (100.00%) violating endpoints... + Iter | Removed | Resized | Inserted | Cloned | Pin | Area | WNS | TNS | Viol | Worst + | Buffers | Gates | Buffers | Gates | Swaps | | | | Endpts | Endpt +-------------------------------------------------------------------------------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | +0.0% | -39.552 | -725.3 | 44 | resp_msg[13] + 10 | 0 | 9 | 0 | 0 | 0 | +0.0% | -26.395 | -264.8 | 44 | resp_msg[15] +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _574_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _574_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _574_/CON + 20 | 0 | 16 | 0 | 0 | 3 | +0.0% | -14.211 | -86.4 | 44 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _564_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _564_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _564_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _568_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON + 30 | 0 | 22 | 0 | 0 | 7 | +0.0% | -11.603 | -66.8 | 44 | resp_msg[12] +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _402_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _400_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _574_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _574_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _567_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _564_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _564_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN + 40 | 0 | 27 | 0 | 0 | 12 | +0.0% | -8.911 | -50.1 | 44 | resp_msg[14] +[WARNING RSZ-0075] makeBufferedNet failed for driver _571_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _566_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _568_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _328_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _571_/SN + 50 | 0 | 35 | 0 | 1 | 13 | +0.6% | -5.965 | -18.3 | 44 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _573_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _402_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _400_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _402_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _400_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _353_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _315_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _570_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _402_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _400_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _353_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _418_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _368_/Y + 58 | 0 | 40 | 0 | 1 | 16 | +0.6% | -4.375 | -13.6 | 42 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN + 60 | 0 | 41 | 0 | 1 | 16 | +0.6% | -4.375 | -12.3 | 42 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _569_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _368_/Y + 62 | 0 | 43 | 0 | 1 | 16 | +0.7% | -4.375 | -10.3 | 40 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _565_/CON +[WARNING RSZ-0075] makeBufferedNet failed for driver _416_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver _363_/Y +[WARNING RSZ-0075] makeBufferedNet failed for driver dpath.a_reg.out\[11\]$_DFFE_PP_/QN + 64 | 0 | 44 | 0 | 1 | 16 | +0.7% | -4.375 | -6.2 | 38 | resp_msg[13] + 65 | 0 | 44 | 0 | 1 | 16 | +0.7% | -4.375 | -6.2 | 37 | resp_msg[13] + 66 | 0 | 44 | 0 | 1 | 16 | +0.7% | -4.375 | -6.2 | 36 | resp_msg[13] + 67 | 0 | 44 | 0 | 1 | 16 | +0.7% | -4.375 | -6.2 | 35 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/CON + 70 | 0 | 45 | 0 | 1 | 17 | +0.7% | -4.379 | -5.0 | 35 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/CON + 71 | 0 | 46 | 0 | 1 | 16 | +0.7% | -4.375 | -6.2 | 34 | resp_msg[13] +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/SN +[WARNING RSZ-0075] makeBufferedNet failed for driver _563_/CON + 73 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 32 | resp_msg[13] + 74 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 31 | resp_msg[13] + 75 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 30 | resp_msg[13] + 76 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 29 | resp_msg[13] + 77 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 28 | resp_msg[13] + 78 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 27 | resp_msg[13] + 79 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 26 | resp_msg[13] + 80 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 26 | resp_msg[13] + 80 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 25 | resp_msg[13] + 81 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 24 | resp_msg[13] + 82 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 23 | resp_msg[13] + 83 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 22 | resp_msg[13] + 84 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 21 | resp_msg[13] + 85 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 20 | resp_msg[13] + 86 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 19 | resp_msg[13] + 87 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 18 | resp_msg[13] + 88 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 17 | resp_msg[13] + 89 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 16 | resp_msg[13] + 90 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 16 | resp_msg[13] + 90 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 15 | resp_msg[13] + 91 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 14 | resp_msg[13] + 92 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 13 | resp_msg[13] + 93 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 12 | resp_msg[13] + 94 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 11 | resp_msg[13] + 95 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 10 | resp_msg[13] + 96 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 9 | resp_msg[13] + 97 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 8 | resp_msg[13] + 98 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 7 | resp_msg[13] + 99 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 6 | resp_msg[13] + 100 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 6 | resp_msg[13] + 100 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 5 | resp_msg[13] + 101 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 4 | resp_msg[13] + 102 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 3 | resp_msg[13] + 103 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 2 | resp_msg[13] + 104 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 1 | resp_msg[13] + 105 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 0 | resp_msg[13] + 106 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 0 | resp_msg[13] + 107 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 0 | resp_msg[13] + 108 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 0 | resp_msg[13] + 109 | 0 | 47 | 0 | 1 | 16 | +0.7% | -4.375 | -4.8 | 0 | resp_msg[13] + final | 0 | 58 | 0 | 1 | 16 | +0.7% | -4.375 | -4.4 | 1 | resp_msg[13] +-------------------------------------------------------------------------------------------------------------- +[INFO RSZ-0051] Resized 58 instances: 4 up, 0 up match, 0 down, 54 VT +[INFO RSZ-0043] Swapped pins on 16 instances. +[INFO RSZ-0049] Cloned 1 instances. +[WARNING RSZ-0062] Unable to repair all setup violations. +Startpoint: dpath.a_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 26.15 26.15 ^ dpath.a_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 5.85 32.01 v _353_/Y (INVx2_ASAP7_75t_SL) + 14.77 46.78 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.33 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 95.13 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.58 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.59 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.98 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 153.22 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 171.56 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 180.37 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 180.37 ^ resp_msg[13] (out) + 180.37 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -180.37 data arrival time +--------------------------------------------------------- + -4.37 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 26.15 26.15 ^ dpath.a_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 5.85 32.01 v _353_/Y (INVx2_ASAP7_75t_SL) + 14.77 46.78 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.33 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 95.13 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.58 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.59 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.98 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 153.22 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 171.56 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 180.37 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 180.37 ^ resp_msg[13] (out) + 180.37 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -180.37 data arrival time +--------------------------------------------------------- + -4.37 slack (VIOLATED) + + +Startpoint: dpath.b_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.b_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 29.21 29.21 v dpath.b_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 17.26 46.48 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.03 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 94.82 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.28 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.29 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.68 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 152.92 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 171.26 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 180.07 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 180.07 ^ resp_msg[13] (out) + 180.07 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -180.07 data arrival time +--------------------------------------------------------- + -4.07 slack (VIOLATED) + + +Startpoint: dpath.b_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.b_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 29.21 29.21 v dpath.b_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 17.26 46.48 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.03 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 94.82 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.28 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.29 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.68 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 152.92 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 171.26 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 180.07 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 180.07 ^ resp_msg[13] (out) + 180.07 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -180.07 data arrival time +--------------------------------------------------------- + -4.07 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 26.15 26.15 ^ dpath.a_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 5.85 32.01 v _353_/Y (INVx2_ASAP7_75t_SL) + 14.77 46.78 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.33 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 95.13 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.58 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.59 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.98 v _402_/Y (AO21x2_ASAP7_75t_SL) + 16.25 152.23 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 170.56 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 179.38 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 179.38 ^ resp_msg[13] (out) + 179.38 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -179.38 data arrival time +--------------------------------------------------------- + -3.38 slack (VIOLATED) + + +Startpoint: dpath.b_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.b_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 29.21 29.21 v dpath.b_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 17.26 46.48 ^ _570_/CON (HAxp5_ASAP7_75t_SL) + 25.55 72.03 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 94.82 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 107.28 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 121.29 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 135.68 v _402_/Y (AO21x2_ASAP7_75t_SL) + 16.25 151.92 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 170.26 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 179.08 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 179.08 ^ resp_msg[13] (out) + 179.08 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -179.08 data arrival time +--------------------------------------------------------- + -3.08 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[8]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[8]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 24.03 24.03 ^ dpath.a_reg.out[8]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 8.85 32.89 v _351_/Y (INVx1_ASAP7_75t_SL) + 38.64 71.52 v _568_/SN (HAxp5_ASAP7_75t_SL) + 21.58 93.11 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 105.56 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 119.57 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 133.96 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 151.20 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 169.54 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 178.35 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 178.35 ^ resp_msg[13] (out) + 178.35 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -178.35 data arrival time +--------------------------------------------------------- + -2.35 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[8]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[8]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 24.03 24.03 ^ dpath.a_reg.out[8]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 8.85 32.89 v _351_/Y (INVx1_ASAP7_75t_SL) + 38.64 71.52 v _568_/SN (HAxp5_ASAP7_75t_SL) + 21.58 93.11 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 105.56 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 119.57 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 133.96 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 151.20 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 169.54 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 178.35 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 178.35 ^ resp_msg[13] (out) + 178.35 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -178.35 data arrival time +--------------------------------------------------------- + -2.35 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 26.15 26.15 ^ dpath.a_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 5.85 32.01 v _353_/Y (INVx2_ASAP7_75t_SL) + 37.86 69.87 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 92.66 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 105.12 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 119.13 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 133.52 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 150.76 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 169.10 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 177.91 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 177.91 ^ resp_msg[13] (out) + 177.91 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -177.91 data arrival time +--------------------------------------------------------- + -1.91 slack (VIOLATED) + + +Startpoint: dpath.a_reg.out[6]$_DFFE_PP_ + (rising edge-triggered flip-flop clocked by core_clock) +Endpoint: resp_msg[13] (output port clocked by core_clock) +Path Group: core_clock +Path Type: max + + Delay Time Description +--------------------------------------------------------- + 0.00 0.00 clock core_clock (rise edge) + 0.00 0.00 clock network delay (ideal) + 0.00 0.00 ^ dpath.a_reg.out[6]$_DFFE_PP_/CLK (DFFHQNx1_ASAP7_75t_SL) + 26.15 26.15 ^ dpath.a_reg.out[6]$_DFFE_PP_/QN (DFFHQNx1_ASAP7_75t_SL) + 5.85 32.01 v _353_/Y (INVx2_ASAP7_75t_SL) + 37.86 69.87 v _570_/SN (HAxp5_ASAP7_75t_SL) + 22.79 92.66 v _315_/Y (OR3x1_ASAP7_75t_SL) + 12.46 105.12 v _396_/Y (OR2x2_ASAP7_75t_SL) + 14.01 119.13 v _400_/Y (OA21x2_ASAP7_75t_SL) + 14.39 133.52 v _402_/Y (AO21x2_ASAP7_75t_SL) + 17.24 150.76 v _417_/Y (AO32x1_ASAP7_75t_SL) + 18.34 169.10 ^ _418_/Y (XOR2x2_ASAP7_75t_SL) + 8.82 177.91 ^ output41/Y (BUFx2_ASAP7_75t_SL) + 0.00 177.91 ^ resp_msg[13] (out) + 177.91 data arrival time + + 220.00 220.00 clock core_clock (rise edge) + 0.00 220.00 clock network delay (ideal) + 0.00 220.00 clock reconvergence pessimism + -44.00 176.00 output external delay + 176.00 data required time +--------------------------------------------------------- + 176.00 data required time + -177.91 data arrival time +--------------------------------------------------------- + -1.91 slack (VIOLATED) + + diff --git a/src/rsz/test/repair_setup_vt_swap2.tcl b/src/rsz/test/repair_setup_vt_swap2.tcl new file mode 100644 index 00000000000..c26f5591d57 --- /dev/null +++ b/src/rsz/test/repair_setup_vt_swap2.tcl @@ -0,0 +1,31 @@ +source "helpers.tcl" +read_liberty asap7/asap7sc7p5t_AO_RVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_INVBUF_RVT_FF_nldm_220122.lib.gz +read_liberty asap7/asap7sc7p5t_OA_RVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SIMPLE_RVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SEQ_RVT_FF_nldm_220123.lib +read_liberty asap7/asap7sc7p5t_AO_LVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_INVBUF_LVT_FF_nldm_220122.lib.gz +read_liberty asap7/asap7sc7p5t_OA_LVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SEQ_LVT_FF_nldm_220123.lib +read_liberty asap7/asap7sc7p5t_AO_SLVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_INVBUF_SLVT_FF_nldm_220122.lib.gz +read_liberty asap7/asap7sc7p5t_OA_SLVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz +read_liberty asap7/asap7sc7p5t_SEQ_SLVT_FF_nldm_220123.lib +read_lef asap7/asap7_tech_1x_201209.lef +read_lef asap7/asap7sc7p5t_28_R_1x_220121a.lef +read_lef asap7/asap7sc7p5t_28_L_1x_220121a.lef +read_lef asap7/asap7sc7p5t_28_SL_1x_220121a.lef + +read_verilog gcd_asap7_cts.v +link gcd +read_sdc gcd.sdc +source asap7/setRC.tcl +estimate_parasitics -placement + +#set_debug_level RSZ swap_crit_vt 1 +#set_debug_level RSZ opt_moves 1 +repair_timing -setup -skip_last_gasp -verbose +report_checks -path_delay max -endpoint_path_count 10 diff --git a/test/asap7/asap7sc7p5t_AO_LVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_AO_LVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..cb89357f9b8 Binary files /dev/null and b/test/asap7/asap7sc7p5t_AO_LVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_AO_SLVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_AO_SLVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..c15a9c1e260 Binary files /dev/null and b/test/asap7/asap7sc7p5t_AO_SLVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_INVBUF_LVT_FF_nldm_220122.lib.gz b/test/asap7/asap7sc7p5t_INVBUF_LVT_FF_nldm_220122.lib.gz new file mode 100755 index 00000000000..3ad4c3ecff7 Binary files /dev/null and b/test/asap7/asap7sc7p5t_INVBUF_LVT_FF_nldm_220122.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_INVBUF_SLVT_FF_nldm_220122.lib.gz b/test/asap7/asap7sc7p5t_INVBUF_SLVT_FF_nldm_220122.lib.gz new file mode 100755 index 00000000000..3dc5011f5a9 Binary files /dev/null and b/test/asap7/asap7sc7p5t_INVBUF_SLVT_FF_nldm_220122.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_OA_LVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_OA_LVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..1d03aab6a4f Binary files /dev/null and b/test/asap7/asap7sc7p5t_OA_LVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_OA_SLVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_OA_SLVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..c6faafae21e Binary files /dev/null and b/test/asap7/asap7sc7p5t_OA_SLVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_SEQ_LVT_FF_nldm_220123.lib b/test/asap7/asap7sc7p5t_SEQ_LVT_FF_nldm_220123.lib new file mode 100755 index 00000000000..a60e056994f --- /dev/null +++ b/test/asap7/asap7sc7p5t_SEQ_LVT_FF_nldm_220123.lib @@ -0,0 +1,37991 @@ +/* +BSD 3-Clause License + +Copyright 2020 Lawrence T. Clark, Vinay Vashishtha, or Arizona State +University + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, +this list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright +notice, this list of conditions and the following disclaimer in the +documentation and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its +contributors may be used to endorse or promote products derived from this +software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE +LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +POSSIBILITY OF SUCH DAMAGE. +*/ + + +library (asap7sc7p5t_SEQ_LVT_FF_nldm_220123) { + /* Models written by Liberate 18.1.0.293 from Cadence Design Systems, Inc. on Sun Jan 23 00:34:11 MST 2022 */ + comment : ""; + date : "$Date: Sun Jan 23 00:29:10 2022 $"; + revision : "1.0"; + delay_model : table_lookup; + capacitive_load_unit (1,ff); + current_unit : "1mA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ps"; + voltage_unit : "1V"; + voltage_map (VDD, 0.77); + voltage_map (VSS, 0); + voltage_map (GND, 0); + default_cell_leakage_power : 0; + default_fanout_load : 1; + default_max_transition : 320; + default_output_pin_cap : 0; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 0; + nom_voltage : 0.77; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 10; + slew_lower_threshold_pct_rise : 10; + slew_upper_threshold_pct_fall : 90; + slew_upper_threshold_pct_rise : 90; + operating_conditions (PVT_0P77V_0C) { + process : 1; + temperature : 0; + voltage : 0.77; + } + default_operating_conditions : PVT_0P77V_0C; + lu_table_template (constraint_template_7x7) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + } + lu_table_template (delay_template_7x7) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + } + lu_table_template (mpw_constraint_template_7x7) { + variable_1 : constrained_pin_transition; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + } + power_lut_template (passive_power_template_7x1) { + variable_1 : input_transition_time; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + } + power_lut_template (power_template_7x7) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + } + lu_table_template (waveform_template_name) { + variable_1 : input_net_transition; + variable_2 : normalized_voltage; + index_1 ("0, 1000, 2000, 3000, 4000, 5000, 6000"); + index_2 ("0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16"); + } + input_voltage (default_VDD_VSS_input) { + vil : 0; + vih : 0.77; + vimin : 0; + vimax : 0.77; + } + output_voltage (default_VDD_VSS_output) { + vol : 0; + voh : 0.77; + vomin : 0; + vomax : 0.77; + } + normalized_driver_waveform (waveform_template_name) { + driver_waveform_name : "PreDriver20.5:rise"; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + normalized_driver_waveform (waveform_template_name) { + driver_waveform_name : "PreDriver20.5:fall"; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + normalized_driver_waveform (waveform_template_name) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + cell (DFFASRHQNx1_ASAP7_75t_L) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1622.1; + when : "(CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1358.63; + when : "(CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1190.33; + when : "(CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1413.74; + when : "(CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1524.08; + when : "(CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1410.59; + when : "(CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1525.42; + when : "(CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1357.1; + when : "(CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1202.23; + when : "(CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1312.57; + when : "(CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1790.5; + when : "(!CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1450.72; + when : "(!CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1175; + when : "(!CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1740.61; + when : "(!CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1458.58; + when : "(!CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1702.04; + when : "(!CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1710.3; + when : "(!CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1469.72; + when : "(!CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1547.41; + when : "(!CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1591.01; + when : "(!CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1477.64; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "30.6799, 33.4698, 38.2903, 46.6011, 60.9511, 87.8014, 140.746", \ + "31.8326, 34.6234, 39.4479, 47.7532, 62.1159, 88.9716, 141.74", \ + "33.6235, 36.4145, 41.2392, 49.5459, 63.9079, 90.7598, 143.46", \ + "35.9618, 38.7521, 43.5728, 51.8796, 66.2193, 93.0901, 146.002", \ + "38.8616, 41.6476, 46.4666, 54.769, 69.1032, 95.9306, 148.851", \ + "42.1469, 44.9252, 49.7321, 58.0258, 72.4005, 99.1959, 151.927", \ + "45.0457, 47.8067, 52.5922, 60.8714, 75.2364, 101.985, 154.942" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.9025, 16.1813, 24.0978, 38.7321, 67.6294, 126.467, 247.665", \ + "11.8691, 16.1982, 24.1366, 38.7035, 67.7153, 126.43, 246.762", \ + "11.8835, 16.1771, 24.1299, 38.7298, 67.7122, 126.363, 247.573", \ + "11.9043, 16.1685, 24.0987, 38.7717, 67.6762, 127.06, 247.532", \ + "11.9058, 16.1349, 24.0944, 38.7874, 67.5195, 127.382, 246.033", \ + "11.8944, 16.1428, 24.0536, 38.7454, 67.5363, 126.421, 247.389", \ + "11.8482, 16.231, 24.0662, 38.7003, 67.6574, 126.488, 246.819" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "29.0248, 32.1069, 37.3011, 45.6649, 59.3443, 83.8674, 131.104", \ + "30.2004, 33.286, 38.4785, 46.8436, 60.5345, 85.0528, 132.295", \ + "32.1259, 35.214, 40.4052, 48.7706, 62.4877, 86.9615, 134.191", \ + "34.6307, 37.7132, 42.9008, 51.2651, 64.9683, 89.4322, 136.644", \ + "37.7726, 40.8427, 46.0131, 54.3731, 68.0377, 92.5497, 139.735", \ + "41.4936, 44.5485, 49.7034, 58.0538, 71.7646, 96.274, 143.397", \ + "45.0811, 48.1153, 53.2641, 61.6085, 75.2993, 99.8419, 147.048" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "12.475, 16.4269, 23.4016, 36.0357, 60.0666, 108.276, 207.462", \ + "12.4763, 16.417, 23.413, 35.9922, 59.9955, 108.516, 207.519", \ + "12.447, 16.3882, 23.4043, 36.0155, 60.0615, 108.362, 208.097", \ + "12.4794, 16.4255, 23.3881, 35.9627, 59.9304, 108.186, 208.587", \ + "12.4934, 16.3696, 23.3921, 35.9852, 59.9436, 108.236, 208.666", \ + "12.5753, 16.4742, 23.4239, 35.9151, 59.9396, 108.563, 208.455", \ + "12.8258, 16.6644, 23.6679, 36.1219, 60.1626, 108.434, 207.526" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(CLK & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(CLK * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "36.1637, 38.7879, 43.3601, 51.1405, 65.1632, 91.7965, 144.781", \ + "37.1062, 39.75, 44.2752, 52.0941, 66.0655, 92.8043, 145.542", \ + "38.5638, 41.1879, 45.7604, 53.5426, 67.5643, 94.1948, 147.186", \ + "40.6575, 43.2708, 47.7907, 55.6035, 69.5992, 96.3129, 149.016", \ + "43.4846, 46.1239, 50.5959, 58.3898, 72.3098, 99.0566, 151.831", \ + "46.9061, 49.528, 54.0489, 61.8773, 75.8687, 102.567, 155.177", \ + "49.6361, 52.4436, 57.138, 65.0863, 79.1607, 105.925, 158.542" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4013, 15.4029, 22.9174, 37.2986, 66.3904, 126.336, 247.235", \ + "11.4487, 15.4255, 22.9064, 37.2688, 66.4623, 125.569, 245.713", \ + "11.4261, 15.3902, 22.9079, 37.3104, 66.3916, 126.312, 247.241", \ + "11.393, 15.3603, 22.8706, 37.2199, 66.483, 125.57, 247.264", \ + "11.4567, 15.4153, 22.8338, 37.246, 66.3912, 126.515, 245.755", \ + "11.9683, 15.8284, 23.2557, 37.6235, 66.6008, 125.717, 246.17", \ + "12.6702, 16.6987, 24.1366, 38.3529, 67.1253, 125.932, 246.975" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(~CLK & D & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(!CLK * D * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "29.2029, 31.6865, 35.9732, 43.4244, 57.1045, 83.5938, 136.383", \ + "30.5572, 33.0389, 37.3254, 44.7795, 58.4614, 84.944, 137.731", \ + "32.9211, 35.4011, 39.6931, 47.1422, 60.8245, 87.2931, 140.038", \ + "36.7187, 39.1969, 43.4707, 50.9407, 64.6298, 91.0942, 143.846", \ + "43.3053, 45.7893, 50.08, 57.4852, 71.0948, 97.7318, 150.754", \ + "54.6642, 57.1506, 61.4359, 68.8659, 82.5368, 109.07, 161.732", \ + "74.6032, 77.1315, 81.396, 88.8385, 102.5, 129.076, 182.054" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.3862, 14.21, 21.4875, 35.8528, 65.1369, 124.805, 246.6", \ + "10.376, 14.1928, 21.5012, 35.8488, 65.1929, 124.764, 246.539", \ + "10.3542, 14.1849, 21.4589, 35.8604, 65.1536, 124.74, 245.038", \ + "10.3617, 14.2669, 21.4372, 35.8871, 65.1885, 124.626, 245.023", \ + "10.4561, 14.2364, 21.5014, 35.8409, 65.0449, 124.808, 246.905", \ + "10.5371, 14.3082, 21.5893, 35.7677, 65.1201, 124.865, 244.934", \ + "10.7396, 14.5834, 21.7659, 36.0769, 65.4527, 124.711, 245.186" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(~CLK & ~D & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(!CLK * !D * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "29.222, 31.7476, 36.0137, 43.4471, 57.1184, 83.6963, 136.73", \ + "30.5884, 33.0861, 37.3457, 44.7748, 58.4417, 85.0426, 137.792", \ + "32.9241, 35.4039, 39.6938, 47.1435, 60.8247, 87.3074, 140.099", \ + "36.7152, 39.193, 43.4665, 50.9411, 64.6294, 91.0944, 143.85", \ + "43.3672, 45.8579, 50.1125, 57.5257, 71.1562, 97.7726, 150.514", \ + "54.7121, 57.198, 61.4842, 68.9171, 82.589, 109.114, 161.802", \ + "74.6028, 77.1005, 81.3648, 88.8077, 102.502, 129.072, 182.029" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4022, 14.2132, 21.5113, 35.7871, 65.1342, 125.763, 246.969", \ + "10.4242, 14.2698, 21.496, 35.8886, 65.6142, 124.784, 246.734", \ + "10.3625, 14.1861, 21.4842, 35.8477, 65.1391, 124.746, 246.532", \ + "10.3844, 14.2518, 21.4455, 35.8928, 65.1703, 124.755, 245.076", \ + "10.4412, 14.2676, 21.4969, 35.8642, 64.8443, 124.78, 246.502", \ + "10.5436, 14.3127, 21.5716, 35.8079, 65.1129, 125.171, 245.114", \ + "10.7649, 14.5921, 21.7666, 36.0815, 65.6212, 124.684, 246.068" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "29.2029, 31.6865, 35.9732, 43.4244, 57.1045, 83.5938, 136.383", \ + "30.5572, 33.0389, 37.3254, 44.7795, 58.4614, 84.944, 137.731", \ + "32.9211, 35.4011, 39.6931, 47.1422, 60.8245, 87.2931, 140.038", \ + "36.7187, 39.1969, 43.4707, 50.9407, 64.6298, 91.0942, 143.846", \ + "43.3053, 45.7893, 50.08, 57.4852, 71.0948, 97.7318, 150.754", \ + "54.6642, 57.1506, 61.4359, 68.8659, 82.5368, 109.07, 161.732", \ + "74.6032, 77.1315, 81.396, 88.8385, 102.5, 129.076, 182.054" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.3862, 14.21, 21.4875, 35.8528, 65.1369, 124.805, 246.6", \ + "10.376, 14.1928, 21.5012, 35.8488, 65.1929, 124.764, 246.539", \ + "10.3542, 14.1849, 21.4589, 35.8604, 65.1536, 124.74, 245.038", \ + "10.3617, 14.2669, 21.4372, 35.8871, 65.1885, 124.626, 245.023", \ + "10.4561, 14.2364, 21.5014, 35.8409, 65.0449, 124.808, 246.905", \ + "10.5371, 14.3082, 21.5893, 35.7677, 65.1201, 124.865, 244.934", \ + "10.7396, 14.5834, 21.7659, 36.0769, 65.4527, 124.711, 245.186" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(CLK * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.1326, 22.9376, 27.794, 35.5312, 48.4209, 72.2747, 119.33", \ + "21.4261, 24.2337, 29.0797, 36.8168, 49.712, 73.5541, 120.647", \ + "23.8922, 26.6871, 31.5331, 39.2679, 52.1512, 76.0358, 123", \ + "27.7448, 30.5514, 35.3673, 43.0838, 55.9654, 79.8288, 126.852", \ + "33.4324, 36.2731, 41.0826, 48.8126, 61.728, 85.6118, 132.607", \ + "42.2264, 45.267, 50.3326, 58.2691, 71.2694, 95.1557, 142.108", \ + "55.9185, 59.3326, 64.9268, 73.2942, 86.4296, 110.314, 157.334" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.6477, 14.503, 21.2481, 33.5113, 57.3773, 105.708, 205.866", \ + "10.6782, 14.524, 21.2912, 33.458, 57.4024, 106.649, 205.921", \ + "10.7881, 14.6384, 21.3692, 33.5768, 57.2996, 106.006, 206.918", \ + "11.4458, 15.1514, 21.6448, 33.7611, 57.4579, 105.958, 205.621", \ + "12.4894, 15.9889, 22.4191, 34.2172, 57.7557, 106.131, 205.774", \ + "14.57, 18.0993, 24.2955, 35.7766, 58.7767, 106.752, 205.9", \ + "18.4164, 21.978, 28.0761, 38.9207, 60.9486, 107.453, 206.706" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(CLK * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.9411, 21.3425, 25.3781, 32.2325, 44.5694, 68.2682, 115.164", \ + "20.2462, 22.6493, 26.6761, 33.5325, 45.8465, 69.5835, 116.573", \ + "22.6961, 25.0822, 29.0971, 35.9666, 48.3297, 71.9612, 119.093", \ + "26.4179, 28.8604, 32.938, 39.8556, 52.2794, 75.9649, 123.039", \ + "31.8565, 34.3724, 38.5682, 45.6414, 58.1508, 81.9033, 128.988", \ + "40.1415, 42.8309, 47.2526, 54.5134, 67.2361, 91.044, 138.041", \ + "52.9238, 55.871, 60.7019, 68.5231, 81.7244, 105.852, 152.982" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "9.16759, 12.4934, 18.6691, 30.7876, 55.0236, 104.618, 206.009", \ + "9.22106, 12.4605, 18.7106, 30.7914, 55.0854, 104.829, 205.549", \ + "9.33395, 12.5912, 18.8072, 30.8785, 55.1508, 104.836, 205.338", \ + "10.0656, 13.2984, 19.3155, 31.2975, 55.5252, 105.21, 205.639", \ + "11.0071, 14.2541, 20.3222, 32.065, 55.9736, 105.04, 205.749", \ + "12.7696, 16.0499, 22.0066, 33.5373, 57.0789, 106.098, 205.891", \ + "16.1427, 19.3359, 25.2729, 36.773, 59.8715, 107.752, 207.169" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * D * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "19.2308, 21.7426, 25.9008, 32.6932, 44.8177, 68.4259, 115.499", \ + "20.234, 22.7305, 26.8882, 33.6815, 45.8081, 69.4174, 116.492", \ + "22.0251, 24.5102, 28.6466, 35.4257, 47.5673, 71.1669, 118.234", \ + "24.9198, 27.4581, 31.6432, 38.4372, 50.5818, 74.135, 121.028", \ + "29.5902, 32.2101, 36.4627, 43.2967, 55.4583, 78.9879, 126.175", \ + "37.4903, 40.2423, 44.5391, 51.3526, 63.4559, 86.9751, 133.851", \ + "50.596, 53.4869, 57.733, 64.4551, 76.4602, 99.8565, 146.937" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "9.58724, 12.8597, 18.8688, 30.5659, 54.4591, 104.148, 204.986", \ + "9.57253, 12.8562, 18.864, 30.5636, 54.4629, 104.146, 204.995", \ + "9.62442, 12.8789, 18.916, 30.6323, 54.5848, 105.131, 204.92", \ + "10.35, 13.5494, 19.3974, 30.8807, 54.6948, 104.108, 205.296", \ + "11.4951, 14.5715, 20.3047, 31.5389, 55.1629, 104.65, 205.015", \ + "13.5458, 16.4544, 21.7557, 32.5528, 55.6324, 104.938, 205.416", \ + "17.108, 19.5933, 24.2193, 34.1772, 56.3201, 104.632, 205.126" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * D * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.5357, 20.8004, 24.6553, 31.1688, 43.242, 66.79, 113.693", \ + "19.553, 21.7709, 25.6312, 32.1334, 44.2181, 67.7854, 114.739", \ + "21.2769, 23.5249, 27.3242, 33.8533, 45.9191, 69.4849, 116.546", \ + "23.9836, 26.3032, 30.2066, 36.7943, 48.8955, 72.5281, 119.588", \ + "28.3743, 30.7792, 34.8227, 41.5468, 53.753, 77.3354, 124.387", \ + "35.7407, 38.3131, 42.6171, 49.6409, 62.0261, 85.6943, 132.671", \ + "47.934, 50.8097, 55.4659, 63.1283, 76.1821, 100.44, 147.7" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.61834, 11.7272, 17.7425, 29.6733, 54.0666, 103.947, 205.619", \ + "8.67132, 11.7573, 17.7588, 29.6665, 54.0033, 103.831, 204.997", \ + "8.6995, 11.7687, 17.7555, 29.6582, 54.0102, 103.952, 206.382", \ + "9.32562, 12.3536, 18.3254, 30.0781, 54.3523, 105.147, 205.095", \ + "10.2999, 13.3929, 19.2818, 30.8523, 54.847, 104.9, 205.258", \ + "12.2164, 15.3322, 21.2208, 32.6582, 56.1127, 105.332, 205.448", \ + "15.9563, 18.9207, 24.88, 36.3603, 59.3883, 107.572, 207.047" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * !D * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "19.0737, 21.5762, 25.7367, 32.5286, 44.6776, 68.2731, 115.348", \ + "20.037, 22.5382, 26.6982, 33.4905, 45.6426, 69.2404, 116.31", \ + "21.7935, 24.2902, 28.4359, 35.2287, 47.3571, 70.9668, 118.049", \ + "24.6339, 27.1849, 31.3868, 38.1907, 50.342, 73.928, 120.944", \ + "29.2611, 31.9063, 36.1799, 43.0286, 55.2008, 78.7569, 125.727", \ + "37.1404, 39.9173, 44.2461, 51.0759, 63.2125, 86.7668, 133.597", \ + "50.1934, 53.1147, 57.4132, 64.152, 76.1542, 99.519, 146.541" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "9.56695, 12.8664, 18.9079, 30.6381, 54.5909, 105.126, 204.772", \ + "9.56856, 12.8582, 18.9067, 30.6447, 54.6111, 104.423, 204.921", \ + "9.66083, 12.9388, 18.9412, 30.6063, 54.5261, 104.16, 204.909", \ + "10.3621, 13.5818, 19.4617, 30.9326, 54.7381, 104.148, 206.418", \ + "11.4868, 14.6075, 20.3054, 31.6234, 55.0416, 104.216, 204.937", \ + "13.616, 16.5061, 21.8811, 32.6576, 55.6516, 104.721, 205.095", \ + "17.0354, 19.5194, 24.3468, 34.2816, 56.341, 104.593, 205.214" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * !D * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.4083, 20.705, 24.4795, 31.0035, 43.0846, 66.6815, 113.688", \ + "19.3643, 21.6526, 25.4291, 31.9632, 44.0445, 67.6254, 114.608", \ + "21.0939, 23.3434, 27.1311, 33.6668, 45.7327, 69.3148, 116.383", \ + "23.7533, 26.0634, 29.9498, 36.5337, 48.6652, 72.2535, 119.332", \ + "28.0925, 30.4916, 34.4883, 41.2296, 53.4376, 77.043, 124.117", \ + "35.421, 38.0073, 42.2316, 49.2862, 61.7149, 85.3789, 132.376", \ + "47.5327, 50.4328, 55.1185, 62.8008, 75.877, 100.14, 147.406" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.58649, 11.7175, 17.6445, 29.6504, 53.8327, 104.009, 205.068", \ + "8.58946, 11.7084, 17.6519, 29.7005, 53.9284, 103.902, 205.067", \ + "8.7174, 11.7907, 17.76, 29.687, 54.0287, 104.013, 206.124", \ + "9.31082, 12.3557, 18.326, 30.0814, 54.3427, 104.183, 204.969", \ + "10.2984, 13.3385, 19.2011, 30.8922, 54.9739, 104.408, 205.231", \ + "12.272, 15.3628, 21.1582, 32.59, 56.1475, 105.328, 205.478", \ + "15.996, 19.0131, 24.8031, 36.0812, 59.1757, 107.592, 207.067" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.1326, 22.9376, 27.794, 35.5312, 48.4209, 72.2747, 119.33", \ + "21.4261, 24.2337, 29.0797, 36.8168, 49.712, 73.5541, 120.647", \ + "23.8922, 26.6871, 31.5331, 39.2679, 52.1512, 76.0358, 123", \ + "27.7448, 30.5514, 35.3673, 43.0838, 55.9654, 79.8288, 126.852", \ + "33.4324, 36.2731, 41.0826, 48.8126, 61.728, 85.6118, 132.607", \ + "42.2264, 45.267, 50.3326, 58.2691, 71.2694, 95.1557, 142.108", \ + "55.9185, 59.3326, 64.9268, 73.2942, 86.4296, 110.314, 157.334" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.6477, 14.503, 21.2481, 33.5113, 57.3773, 105.708, 205.866", \ + "10.6782, 14.524, 21.2912, 33.458, 57.4024, 106.649, 205.921", \ + "10.7881, 14.6384, 21.3692, 33.5768, 57.2996, 106.006, 206.918", \ + "11.4458, 15.1514, 21.6448, 33.7611, 57.4579, 105.958, 205.621", \ + "12.4894, 15.9889, 22.4191, 34.2172, 57.7557, 106.131, 205.774", \ + "14.57, 18.0993, 24.2955, 35.7766, 58.7767, 106.752, 205.9", \ + "18.4164, 21.978, 28.0761, 38.9207, 60.9486, 107.453, 206.706" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(CLK * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "23.4504, 26.0846, 30.6098, 38.3986, 52.4373, 79.087, 132.053", \ + "23.8997, 26.5242, 31.0524, 38.8456, 52.869, 79.4991, 132.506", \ + "24.9458, 27.5502, 32.0544, 39.8254, 53.8627, 80.503, 133.497", \ + "26.5015, 29.176, 33.7416, 41.6006, 55.6427, 82.3388, 135.303", \ + "28.9402, 31.6304, 36.2256, 44.175, 58.3198, 85.1604, 138.045", \ + "32.1786, 35.0067, 39.7471, 47.8621, 62.1796, 89.1142, 141.937", \ + "35.5004, 38.481, 43.5107, 52.0764, 67.0279, 94.4696, 147.7" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3898, 15.3526, 22.7989, 37.3552, 66.4352, 125.683, 247.234", \ + "11.3607, 15.3598, 22.8614, 37.2508, 66.4181, 125.894, 247.463", \ + "11.3822, 15.3237, 22.8193, 37.3835, 66.4605, 125.694, 247.295", \ + "11.8877, 15.8896, 23.2894, 37.7581, 66.6213, 126.15, 247.399", \ + "12.4408, 16.4285, 23.8805, 38.3004, 67.1763, 127.029, 247.469", \ + "13.8831, 17.7117, 25.1925, 39.5435, 68.2205, 127.132, 246.263", \ + "16.0568, 20.3144, 28.1884, 42.5451, 70.9391, 129.083, 249.141" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(!CLK * D * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.9508, 23.4382, 27.7188, 35.1667, 48.848, 75.3415, 128.12", \ + "21.1815, 23.6741, 27.9689, 35.4052, 49.089, 75.5812, 128.421", \ + "21.6816, 24.1625, 28.4281, 35.8752, 49.5523, 76.097, 129.072", \ + "22.4223, 24.9411, 29.2459, 36.7341, 50.5094, 76.991, 129.817", \ + "23.8143, 26.3689, 30.7818, 38.3413, 52.1466, 78.7201, 131.551", \ + "25.6868, 28.3715, 32.9341, 40.7708, 54.8165, 81.5752, 134.388", \ + "27.0365, 29.9219, 34.8915, 43.2144, 57.9649, 85.4869, 138.82" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4123, 14.2256, 21.5132, 35.831, 65.0797, 124.753, 246.54", \ + "10.4233, 14.2238, 21.4808, 35.8661, 65.0172, 124.621, 245.235", \ + "10.4443, 14.2366, 21.5276, 35.7703, 65.1495, 125.528, 246.192", \ + "10.8662, 14.7195, 21.962, 36.2746, 65.4221, 124.785, 246.93", \ + "11.3716, 15.2677, 22.5852, 36.8272, 65.7281, 125.074, 246.718", \ + "13.1626, 17.1707, 24.2921, 38.4603, 67.0665, 126.286, 246.273", \ + "15.7065, 19.9857, 27.5154, 41.8119, 70.5638, 128.907, 248.581" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(!CLK * !D * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.8793, 23.3614, 27.6264, 35.0687, 48.7441, 75.3057, 128.301", \ + "21.1153, 23.5831, 27.8389, 35.2881, 48.9727, 75.4748, 128.357", \ + "21.5456, 24.0149, 28.2861, 35.7262, 49.4003, 75.9696, 128.976", \ + "22.2347, 24.7243, 29.0311, 36.5174, 50.2685, 76.8884, 129.826", \ + "23.5645, 26.1414, 30.505, 38.0672, 51.8697, 78.4884, 131.353", \ + "25.4481, 28.0838, 32.6533, 40.4647, 54.5463, 81.2393, 134.125", \ + "26.7144, 29.6102, 34.5645, 42.8883, 57.6335, 85.1922, 138.545" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.3605, 14.1685, 21.4799, 35.75, 65.1348, 125.653, 246.648", \ + "10.3797, 14.1963, 21.4296, 35.8614, 64.9917, 124.561, 245.245", \ + "10.4295, 14.2232, 21.5254, 35.8414, 65.1549, 125.76, 246.708", \ + "10.8071, 14.6334, 21.9048, 36.2251, 65.3962, 125.917, 246.473", \ + "11.4798, 15.3226, 22.5277, 36.7203, 65.9015, 125.196, 247.034", \ + "13.0557, 16.8764, 24.1733, 38.3486, 67.0875, 126.345, 246.003", \ + "15.9882, 19.7522, 27.255, 41.987, 70.482, 129.092, 248.682" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "23.4504, 26.0846, 30.6098, 38.3986, 52.4373, 79.087, 132.053", \ + "23.8997, 26.5242, 31.0524, 38.8456, 52.869, 79.4991, 132.506", \ + "24.9458, 27.5502, 32.0544, 39.8254, 53.8627, 80.503, 133.497", \ + "26.5015, 29.176, 33.7416, 41.6006, 55.6427, 82.3388, 135.303", \ + "28.9402, 31.6304, 36.2256, 44.175, 58.3198, 85.1604, 138.045", \ + "32.1786, 35.0067, 39.7471, 47.8621, 62.1796, 89.1142, 141.937", \ + "35.5004, 38.481, 43.5107, 52.0764, 67.0279, 94.4696, 147.7" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3898, 15.3526, 22.7989, 37.3552, 66.4352, 125.683, 247.234", \ + "11.3607, 15.3598, 22.8614, 37.2508, 66.4181, 125.894, 247.463", \ + "11.3822, 15.3237, 22.8193, 37.3835, 66.4605, 125.694, 247.295", \ + "11.8877, 15.8896, 23.2894, 37.7581, 66.6213, 126.15, 247.399", \ + "12.4408, 16.4285, 23.8805, 38.3004, 67.1763, 127.029, 247.469", \ + "13.8831, 17.7117, 25.1925, 39.5435, 68.2205, 127.132, 246.263", \ + "16.0568, 20.3144, 28.1884, 42.5451, 70.9391, 129.083, 249.141" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.815226, 0.801299, 0.791312, 0.784131, 0.781353, 0.786304, 0.788712", \ + "0.812928, 0.799679, 0.789771, 0.783041, 0.780187, 0.77639, 0.78595", \ + "0.818988, 0.806347, 0.79527, 0.788442, 0.785735, 0.783906, 0.790256", \ + "0.840776, 0.82839, 0.817406, 0.811204, 0.807576, 0.811749, 0.814732", \ + "0.905532, 0.892331, 0.882762, 0.874671, 0.873206, 0.877413, 0.88142", \ + "1.05159, 1.03943, 1.02839, 1.02251, 1.01958, 1.01751, 1.02477", \ + "1.37363, 1.36031, 1.3496, 1.34197, 1.33958, 1.33895, 1.34425" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.834963, 0.817354, 0.801957, 0.79297, 0.787648, 0.784314, 0.782263", \ + "0.834198, 0.816376, 0.801131, 0.792195, 0.786949, 0.783733, 0.781614", \ + "0.837973, 0.819837, 0.80456, 0.795626, 0.790589, 0.787476, 0.785389", \ + "0.857315, 0.839026, 0.823423, 0.814493, 0.809371, 0.806302, 0.804094", \ + "0.917214, 0.898343, 0.882612, 0.873485, 0.868636, 0.865741, 0.863617", \ + "1.06159, 1.0422, 1.02577, 1.01625, 1.01142, 1.0085, 1.00659", \ + "1.38384, 1.36401, 1.34591, 1.33532, 1.32958, 1.32666, 1.32488" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.949597, 0.936494, 0.927195, 0.922185, 0.921136, 0.921546, 0.921533", \ + "0.947671, 0.934782, 0.925317, 0.920771, 0.919681, 0.919979, 0.920116", \ + "0.953695, 0.940959, 0.931056, 0.926524, 0.925279, 0.925569, 0.926001", \ + "0.97537, 0.962901, 0.953123, 0.948555, 0.947311, 0.947529, 0.947904", \ + "1.04037, 1.02745, 1.01771, 1.01298, 1.01162, 1.01204, 1.01212", \ + "1.18638, 1.17399, 1.16438, 1.15944, 1.15814, 1.15832, 1.15886", \ + "1.50842, 1.49544, 1.48582, 1.48046, 1.47899, 1.47937, 1.47995" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.961165, 0.942809, 0.926042, 0.915996, 0.911081, 0.909732, 0.899122", \ + "0.960423, 0.942072, 0.925215, 0.914902, 0.910604, 0.909508, 0.898203", \ + "0.964079, 0.945157, 0.928313, 0.919562, 0.912001, 0.906478, 0.913789", \ + "0.983139, 0.964172, 0.947164, 0.940313, 0.932714, 0.924943, 0.933012", \ + "1.0436, 1.02388, 1.00639, 0.997528, 0.990464, 0.984491, 0.993784", \ + "1.18779, 1.16781, 1.14916, 1.13868, 1.13317, 1.13124, 1.13217", \ + "1.51009, 1.48921, 1.46926, 1.4612, 1.45289, 1.44624, 1.45076" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(CLK * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.870661, 0.861746, 0.855964, 0.853936, 0.85018, 0.853379, 0.855125", \ + "0.871096, 0.861449, 0.856729, 0.854466, 0.850734, 0.846517, 0.847937", \ + "0.876258, 0.867902, 0.862696, 0.860453, 0.857675, 0.860697, 0.862318", \ + "0.902524, 0.892771, 0.88689, 0.884717, 0.879663, 0.877699, 0.883893", \ + "0.971495, 0.963646, 0.95746, 0.950279, 0.953133, 0.952435, 0.947439", \ + "1.13975, 1.12599, 1.11628, 1.11037, 1.10691, 1.10474, 1.11154", \ + "1.39094, 1.37492, 1.3608, 1.35284, 1.34539, 1.34274, 1.35008" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(CLK * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.687749, 0.677642, 0.671581, 0.670752, 0.670086, 0.67084, 0.66965", \ + "0.687246, 0.678089, 0.672773, 0.671005, 0.671117, 0.671418, 0.671335", \ + "0.693942, 0.685233, 0.678947, 0.677393, 0.67749, 0.678011, 0.678503", \ + "0.720386, 0.711012, 0.70512, 0.703098, 0.703351, 0.703872, 0.70281", \ + "0.79309, 0.783953, 0.777536, 0.775122, 0.775047, 0.775604, 0.775782", \ + "0.959596, 0.947557, 0.938679, 0.933798, 0.932347, 0.932138, 0.932415", \ + "1.21176, 1.19645, 1.18379, 1.17591, 1.17248, 1.17148, 1.1713" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * D * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.562425, 0.557551, 0.553329, 0.551373, 0.554603, 0.555315, 0.557856", \ + "0.560962, 0.55414, 0.551757, 0.549722, 0.547304, 0.553671, 0.556241", \ + "0.564098, 0.55913, 0.554933, 0.553494, 0.550596, 0.550934, 0.552403", \ + "0.579342, 0.571137, 0.566691, 0.565674, 0.564971, 0.571131, 0.566781", \ + "0.621109, 0.61441, 0.613993, 0.61028, 0.606991, 0.615191, 0.616165", \ + "0.71527, 0.70791, 0.7048, 0.701327, 0.701319, 0.700942, 0.697764", \ + "0.898798, 0.890859, 0.884402, 0.880812, 0.881411, 0.881865, 0.883554" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * D * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.385274, 0.379637, 0.376571, 0.376536, 0.377783, 0.378506, 0.379078", \ + "0.383696, 0.377678, 0.374837, 0.374829, 0.376054, 0.376892, 0.377353", \ + "0.38684, 0.380944, 0.377878, 0.377854, 0.379182, 0.379793, 0.38021", \ + "0.40309, 0.3965, 0.39341, 0.393832, 0.395062, 0.396502, 0.397059", \ + "0.445722, 0.439494, 0.4365, 0.436495, 0.437063, 0.438015, 0.439104", \ + "0.540121, 0.533376, 0.529486, 0.528679, 0.52972, 0.530684, 0.53134", \ + "0.724109, 0.715968, 0.710096, 0.70796, 0.70825, 0.70952, 0.710172" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * !D * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.56061, 0.554291, 0.551489, 0.550229, 0.546187, 0.55481, 0.55672", \ + "0.559275, 0.553053, 0.549336, 0.548196, 0.548531, 0.552524, 0.55558", \ + "0.561221, 0.556212, 0.551802, 0.550305, 0.553067, 0.554071, 0.556311", \ + "0.575406, 0.568443, 0.56382, 0.563657, 0.562338, 0.562731, 0.564049", \ + "0.620307, 0.613703, 0.610937, 0.609491, 0.605582, 0.612977, 0.615385", \ + "0.714502, 0.706357, 0.703507, 0.700013, 0.700049, 0.699598, 0.696541", \ + "0.898827, 0.89021, 0.883759, 0.880131, 0.879886, 0.878053, 0.884318" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * !D * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.382909, 0.376817, 0.373975, 0.374149, 0.375101, 0.375885, 0.377175", \ + "0.381483, 0.375501, 0.372662, 0.372727, 0.373929, 0.374768, 0.375388", \ + "0.384526, 0.378595, 0.375594, 0.375585, 0.377154, 0.377354, 0.378364", \ + "0.400338, 0.394069, 0.390957, 0.391192, 0.392538, 0.393784, 0.394515", \ + "0.444805, 0.438474, 0.43512, 0.434798, 0.436365, 0.437329, 0.437884", \ + "0.539906, 0.532924, 0.529031, 0.528195, 0.529259, 0.530213, 0.530878", \ + "0.724516, 0.716061, 0.71022, 0.708143, 0.708555, 0.710062, 0.710541" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.884885, 0.872857, 0.864361, 0.860575, 0.85927, 0.858344, 0.857785", \ + "0.883384, 0.871196, 0.862569, 0.858826, 0.857536, 0.856903, 0.856713", \ + "0.888497, 0.875424, 0.865857, 0.861614, 0.86041, 0.86065, 0.859544", \ + "0.90704, 0.891152, 0.878937, 0.872306, 0.869814, 0.868692, 0.86787", \ + "0.962182, 0.943605, 0.927016, 0.917047, 0.912075, 0.909894, 0.90871", \ + "1.12658, 1.10155, 1.07678, 1.05896, 1.04866, 1.04264, 1.03964", \ + "1.46998, 1.43483, 1.39655, 1.36517, 1.34247, 1.32773, 1.31915" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.603107, 0.59052, 0.580009, 0.576751, 0.572685, 0.567332, 0.577483", \ + "0.601328, 0.588671, 0.578654, 0.574591, 0.571195, 0.573862, 0.575039", \ + "0.606249, 0.592848, 0.581209, 0.577856, 0.572651, 0.570735, 0.580894", \ + "0.626261, 0.609111, 0.595425, 0.58939, 0.584201, 0.585042, 0.580666", \ + "0.68271, 0.663183, 0.64497, 0.638057, 0.627105, 0.61938, 0.62237", \ + "0.847804, 0.822214, 0.796197, 0.777683, 0.764781, 0.757903, 0.750872", \ + "1.19067, 1.15519, 1.11603, 1.08438, 1.05893, 1.04339, 1.04215" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.315849, 0.306885, 0.301684, 0.301061, 0.303257, 0.302882, 0.305768", \ + "0.312797, 0.304825, 0.297864, 0.298258, 0.294992, 0.301111, 0.303157", \ + "0.319137, 0.310906, 0.302993, 0.299817, 0.30156, 0.301454, 0.303786", \ + "0.339944, 0.328271, 0.319891, 0.318052, 0.31216, 0.316196, 0.319377", \ + "0.395574, 0.384223, 0.371258, 0.371092, 0.360176, 0.364163, 0.366669", \ + "0.534498, 0.518485, 0.505091, 0.49258, 0.484591, 0.482809, 0.472679", \ + "0.846199, 0.823579, 0.794667, 0.775175, 0.755354, 0.746333, 0.748424" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.526361, 0.52098, 0.519017, 0.519251, 0.519934, 0.520541, 0.520921", \ + "0.525911, 0.520517, 0.518433, 0.518548, 0.519525, 0.520199, 0.520422", \ + "0.53373, 0.527135, 0.524364, 0.524028, 0.524772, 0.525371, 0.52582", \ + "0.560302, 0.551277, 0.546081, 0.543907, 0.543869, 0.544312, 0.544468", \ + "0.626874, 0.614526, 0.605329, 0.600427, 0.598432, 0.597969, 0.597817", \ + "0.78061, 0.762713, 0.746855, 0.734709, 0.728566, 0.725581, 0.724852", \ + "1.11339, 1.08769, 1.06047, 1.03818, 1.02334, 1.0143, 1.00982" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.656972, 0.648394, 0.642932, 0.640223, 0.640199, 0.640809, 0.640727", \ + "0.654319, 0.645704, 0.63984, 0.637068, 0.637064, 0.637503, 0.638298", \ + "0.659271, 0.65021, 0.643359, 0.64019, 0.640128, 0.640411, 0.641201", \ + "0.680826, 0.670392, 0.662696, 0.658626, 0.657288, 0.657471, 0.657212", \ + "0.737686, 0.725199, 0.714703, 0.708377, 0.705363, 0.70474, 0.704423", \ + "0.876588, 0.860494, 0.845321, 0.833028, 0.825531, 0.822343, 0.821595", \ + "1.18746, 1.16434, 1.1408, 1.12054, 1.10448, 1.0942, 1.08916" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.178649, 0.174035, 0.172403, 0.170736, 0.169204, 0.167652, 0.177687", \ + "0.178041, 0.172595, 0.171942, 0.171181, 0.169065, 0.167028, 0.176087", \ + "0.185775, 0.180551, 0.177112, 0.174116, 0.177697, 0.179883, 0.173626", \ + "0.212391, 0.203851, 0.196082, 0.193457, 0.190172, 0.198698, 0.19962", \ + "0.277262, 0.266658, 0.254863, 0.254133, 0.244814, 0.244394, 0.253183", \ + "0.43158, 0.414821, 0.396771, 0.385255, 0.382589, 0.377577, 0.367341", \ + "0.764351, 0.738279, 0.713473, 0.687522, 0.665859, 0.653052, 0.657675" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.625373, 0.618325, 0.615301, 0.614577, 0.614852, 0.614745, 0.61443", \ + "0.623504, 0.616231, 0.612965, 0.612373, 0.612701, 0.612852, 0.612578", \ + "0.626304, 0.618451, 0.614311, 0.61309, 0.613189, 0.613335, 0.613325", \ + "0.642403, 0.632131, 0.625605, 0.622754, 0.622051, 0.62171, 0.621318", \ + "0.696718, 0.681976, 0.671092, 0.664894, 0.662015, 0.661031, 0.660433", \ + "0.836492, 0.815009, 0.795549, 0.781434, 0.773072, 0.768729, 0.766683", \ + "1.10474, 1.07447, 1.04421, 1.01809, 0.998441, 0.986258, 0.980024" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.340173, 0.332548, 0.32817, 0.326879, 0.324046, 0.324832, 0.325205", \ + "0.338588, 0.330908, 0.326147, 0.324936, 0.322989, 0.323253, 0.323355", \ + "0.34182, 0.333556, 0.327631, 0.32504, 0.327824, 0.332005, 0.322452", \ + "0.358031, 0.347979, 0.339373, 0.335191, 0.337519, 0.340313, 0.340356", \ + "0.412792, 0.398136, 0.385399, 0.380836, 0.373, 0.380538, 0.370437", \ + "0.553208, 0.531011, 0.510616, 0.495383, 0.483593, 0.485696, 0.482798", \ + "0.821042, 0.790301, 0.758501, 0.732433, 0.714681, 0.696596, 0.697199" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.249608, 0.24303, 0.241278, 0.239528, 0.243643, 0.244151, 0.24665", \ + "0.24716, 0.240864, 0.239297, 0.238251, 0.236279, 0.23664, 0.237666", \ + "0.25065, 0.243593, 0.240492, 0.239229, 0.236601, 0.240259, 0.247065", \ + "0.264422, 0.258388, 0.250977, 0.248879, 0.246283, 0.252113, 0.253118", \ + "0.313708, 0.304382, 0.296642, 0.295035, 0.28824, 0.285981, 0.292665", \ + "0.445784, 0.429642, 0.411615, 0.402048, 0.392805, 0.395013, 0.3879", \ + "0.742354, 0.719489, 0.697227, 0.670997, 0.659593, 0.645221, 0.643971" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.46418, 0.460902, 0.460247, 0.461155, 0.462363, 0.462715, 0.464128", \ + "0.462139, 0.458927, 0.458456, 0.459362, 0.460509, 0.461642, 0.461647", \ + "0.464286, 0.459993, 0.458579, 0.459195, 0.460354, 0.461384, 0.461568", \ + "0.479713, 0.473382, 0.46999, 0.469456, 0.469848, 0.470344, 0.470926", \ + "0.52945, 0.519396, 0.512705, 0.50958, 0.508589, 0.508337, 0.508828", \ + "0.67165, 0.655286, 0.641065, 0.631062, 0.624711, 0.621808, 0.620809", \ + "0.9861, 0.960024, 0.934296, 0.912636, 0.895973, 0.887308, 0.882861" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.566041, 0.560095, 0.557207, 0.556823, 0.558085, 0.559264, 0.55983", \ + "0.563433, 0.557599, 0.554839, 0.554253, 0.555619, 0.557036, 0.557731", \ + "0.566583, 0.559903, 0.55605, 0.554937, 0.555777, 0.556799, 0.557946", \ + "0.580576, 0.572761, 0.567451, 0.565833, 0.566109, 0.567309, 0.566928", \ + "0.629833, 0.620041, 0.611724, 0.606794, 0.604942, 0.605092, 0.605942", \ + "0.761836, 0.74811, 0.734173, 0.723234, 0.716313, 0.712275, 0.712053", \ + "1.05905, 1.03624, 1.01398, 0.993235, 0.976612, 0.965021, 0.96125" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.143714, 0.139723, 0.142105, 0.140445, 0.137835, 0.144921, 0.14792", \ + "0.142384, 0.137904, 0.140545, 0.138959, 0.136558, 0.144067, 0.145908", \ + "0.144322, 0.13981, 0.140802, 0.137554, 0.142442, 0.144898, 0.148067", \ + "0.159075, 0.153201, 0.149665, 0.148171, 0.149423, 0.155363, 0.147228", \ + "0.208374, 0.197834, 0.193302, 0.191155, 0.185191, 0.192769, 0.192226", \ + "0.351298, 0.33436, 0.320192, 0.310081, 0.305133, 0.302448, 0.291022", \ + "0.665418, 0.637831, 0.611085, 0.58954, 0.568662, 0.556317, 0.556763" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.766898, 0.759719, 0.755895, 0.755159, 0.75542, 0.755077, 0.755651", \ + "0.765241, 0.757887, 0.754125, 0.753373, 0.753612, 0.754123, 0.753751", \ + "0.775146, 0.766891, 0.762847, 0.762018, 0.762088, 0.762258, 0.7621", \ + "0.817197, 0.8071, 0.800104, 0.797186, 0.796648, 0.79635, 0.796187", \ + "0.93735, 0.922452, 0.911299, 0.90497, 0.902217, 0.901029, 0.900406", \ + "1.21896, 1.19742, 1.17807, 1.1643, 1.15624, 1.1518, 1.14981", \ + "1.78606, 1.75494, 1.72428, 1.69783, 1.67888, 1.66691, 1.66122" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.426445, 0.418343, 0.413015, 0.410803, 0.413809, 0.417536, 0.409137", \ + "0.425152, 0.416916, 0.411647, 0.409176, 0.412277, 0.416828, 0.406936", \ + "0.434641, 0.425727, 0.420022, 0.418649, 0.416024, 0.416601, 0.416855", \ + "0.478007, 0.467421, 0.458117, 0.45453, 0.449724, 0.451181, 0.460618", \ + "0.598941, 0.582925, 0.570567, 0.566072, 0.556997, 0.561788, 0.552005", \ + "0.88089, 0.858438, 0.837566, 0.822561, 0.811824, 0.812473, 0.80171", \ + "1.44725, 1.4159, 1.38387, 1.35713, 1.33888, 1.32313, 1.32486" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.401146, 0.394898, 0.39159, 0.390584, 0.3881, 0.396334, 0.399089", \ + "0.39735, 0.390993, 0.387219, 0.386285, 0.384272, 0.384533, 0.385269", \ + "0.40522, 0.398539, 0.393527, 0.391382, 0.38861, 0.396961, 0.399242", \ + "0.439639, 0.432466, 0.425127, 0.422318, 0.419418, 0.427365, 0.430204", \ + "0.547818, 0.537762, 0.529024, 0.527459, 0.520234, 0.517054, 0.525716", \ + "0.815452, 0.800805, 0.785269, 0.775681, 0.767909, 0.770061, 0.759218", \ + "1.39769, 1.37491, 1.35544, 1.33359, 1.31839, 1.30321, 1.30351" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.585605, 0.582017, 0.581126, 0.582008, 0.583248, 0.584136, 0.584691", \ + "0.583965, 0.580213, 0.57931, 0.580124, 0.581489, 0.582577, 0.582738", \ + "0.593109, 0.588676, 0.587224, 0.587772, 0.58905, 0.589995, 0.590424", \ + "0.634659, 0.62799, 0.624344, 0.623584, 0.624303, 0.625294, 0.625403", \ + "0.749137, 0.738965, 0.731658, 0.728475, 0.727579, 0.728058, 0.728146", \ + "1.0335, 1.01695, 1.00267, 0.992448, 0.987001, 0.984709, 0.983959", \ + "1.64665, 1.62042, 1.59457, 1.57325, 1.55754, 1.54915, 1.5451" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.771448, 0.765165, 0.761668, 0.761232, 0.76259, 0.763788, 0.764373", \ + "0.767196, 0.760943, 0.757233, 0.756689, 0.758152, 0.759683, 0.760297", \ + "0.774904, 0.767961, 0.763621, 0.762586, 0.763689, 0.76482, 0.765386", \ + "0.810335, 0.802332, 0.796502, 0.794412, 0.795186, 0.796245, 0.796622", \ + "0.918353, 0.90812, 0.899505, 0.894535, 0.893212, 0.893256, 0.894102", \ + "1.18681, 1.17218, 1.15812, 1.14643, 1.14002, 1.13766, 1.137", \ + "1.7677, 1.74585, 1.72316, 1.70131, 1.68552, 1.67573, 1.67215" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.208339, 0.205418, 0.204957, 0.204549, 0.203525, 0.20418, 0.210373", \ + "0.207056, 0.204067, 0.203841, 0.202789, 0.200113, 0.200946, 0.209485", \ + "0.216486, 0.211899, 0.211751, 0.208495, 0.21333, 0.216774, 0.219341", \ + "0.258865, 0.252377, 0.24685, 0.244188, 0.248619, 0.251197, 0.24408", \ + "0.373541, 0.363439, 0.354182, 0.352532, 0.348154, 0.345684, 0.354384", \ + "0.656201, 0.640263, 0.625803, 0.61472, 0.611493, 0.609408, 0.597413", \ + "1.26926, 1.24148, 1.2171, 1.19765, 1.17936, 1.16721, 1.16766" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.524327; + rise_capacitance : 0.524327; + rise_capacitance_range (0.412659, 0.524327); + fall_capacitance : 0.523488; + fall_capacitance_range (0.412772, 0.523488); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * RESETN * SETN)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond8"; + timing_type : min_pulse_width; + when : "(!D * RESETN * SETN)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.746515, 0.745168, 0.753811, 0.785695, 0.868302, 1.05349, 1.43313" \ + ); + } + } + internal_power () { + when : "(D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.594803, 0.593223, 0.601991, 0.633772, 0.716455, 0.901093, 1.28089" \ + ); + } + } + internal_power () { + when : "(D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.329526, 0.328392, 0.333773, 0.354379, 0.414489, 0.556392, 0.867089" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.495365, 0.494166, 0.501061, 0.528298, 0.599892, 0.759546, 1.09506" \ + ); + } + } + internal_power () { + when : "(D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462715, 0.461626, 0.467205, 0.487602, 0.547778, 0.689863, 1.00062" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.362389, 0.360922, 0.36806, 0.394812, 0.466495, 0.626054, 0.961762" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.620752, 0.62139, 0.629584, 0.655033, 0.725758, 0.889265, 1.24377" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.717505, 0.716903, 0.72575, 0.758578, 0.841927, 1.02852, 1.41024" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.780621, 0.781388, 0.789526, 0.815045, 0.885776, 1.04917, 1.40366" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.557309, 0.55658, 0.56555, 0.598227, 0.681835, 0.868111, 1.25" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.428534, 0.427966, 0.434606, 0.458649, 0.528267, 0.68579, 1.03312" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580926, 0.580309, 0.589481, 0.621773, 0.703702, 0.887708, 1.26626" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.542755, 0.541971, 0.548693, 0.572954, 0.642502, 0.80001, 1.14734" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467064, 0.466205, 0.475583, 0.507744, 0.589928, 0.773631, 1.15244" \ + ); + } + } + internal_power () { + when : "(!D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.334851, 0.333077, 0.337927, 0.358683, 0.419516, 0.561542, 0.874123" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.507365, 0.506248, 0.512674, 0.53943, 0.609972, 0.768464, 1.10385" \ + ); + } + } + internal_power () { + when : "(!D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.468791, 0.467034, 0.472267, 0.493018, 0.554055, 0.695946, 1.00855" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373345, 0.371884, 0.37833, 0.405075, 0.475571, 0.634, 0.969578" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.856082, 0.85509, 0.862243, 0.890329, 0.962495, 1.12216, 1.45725" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.713792, 0.712772, 0.719803, 0.747911, 0.820011, 0.979673, 1.31494" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494111, 0.492388, 0.496698, 0.51734, 0.57789, 0.72282, 1.0371" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.645226, 0.644137, 0.652462, 0.681823, 0.757825, 0.923451, 1.27051" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.654572, 0.652688, 0.657225, 0.677833, 0.738247, 0.883169, 1.19731" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484573, 0.483528, 0.491783, 0.521005, 0.597065, 0.762968, 1.11023" \ + ); + } + } + internal_power () { + when : "(!D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.339543, 0.337326, 0.342691, 0.363788, 0.425194, 0.568452, 0.8815" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.503511, 0.50294, 0.509425, 0.535979, 0.604945, 0.763251, 1.09766" \ + ); + } + } + internal_power () { + when : "(!D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.480268, 0.478301, 0.483751, 0.504759, 0.566356, 0.709457, 1.02251" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.36285, 0.362045, 0.368715, 0.395038, 0.464257, 0.622354, 0.957016" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.661153; + rise_capacitance : 0.661153; + rise_capacitance_range (0.597397, 0.661153); + fall_capacitance : 0.658785; + fall_capacitance_range (0.580756, 0.658785); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(RESETN * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.643613, -0.378297, 0.120522, -1.81885, 0.186641, -1.4219, -4.63897", \ + "-0.757595, -0.492279, 0.00654007, -3.12057, 0.0726585, -1.53588, -4.75295", \ + "-4.97528, -0.712463, -0.213643, -3.34076, -0.147525, -1.75606, -4.97313", \ + "-4.19678, -5.11921, -0.622886, -2.5, -0.556768, -2.1653, -8.26171", \ + "-6.07851, -5.8132, -5.31438, -4.44399, -1.25076, -2.8593, -6.07637", \ + "-5.97255, -5.70724, -5.20842, -4.33803, -5.1423, -2.75334, -5.97041", \ + "-6.7566, -6.49128, -1.99496, -3.93555, -1.92884, -3.53738, -6.75445" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.154, 10.8798, 12.2754, 12.0728, 15.0886, 20.8478, 19.5261", \ + "6.45345, 11.1767, 12.5724, 15.1404, 15.3855, 17.1472, 19.823", \ + "7.02575, 7.75151, 13.1447, 11.7152, 15.9578, 17.7195, 20.3953", \ + "10.084, 8.80974, 10.2054, 14.0625, 17.016, 18.7778, 18.5742", \ + "9.85491, 10.5807, 11.9763, 14.5444, 18.787, 20.5487, 23.2245", \ + "9.2503, 9.97606, 11.3717, 13.9398, 18.1824, 19.9441, 22.6199", \ + "10.8054, 11.5312, 12.9268, 16.7921, 19.7375, 25.4967, 24.175" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.643613, -0.378297, 0.120522, -1.81885, 0.186641, -1.4219, -4.63897", \ + "-0.757595, -0.492279, 0.00654007, -3.12057, 0.0726585, -1.53588, -4.75295", \ + "-4.97528, -0.712463, -0.213643, -3.34076, -0.147525, -1.75606, -4.97313", \ + "-4.19678, -5.11921, -0.622886, -2.5, -0.556768, -2.1653, -8.26171", \ + "-6.07851, -5.8132, -5.31438, -4.44399, -1.25076, -2.8593, -6.07637", \ + "-5.97255, -5.70724, -5.20842, -4.33803, -5.1423, -2.75334, -5.97041", \ + "-6.7566, -6.49128, -1.99496, -3.93555, -1.92884, -3.53738, -6.75445" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.154, 10.8798, 12.2754, 12.0728, 15.0886, 20.8478, 19.5261", \ + "6.45345, 11.1767, 12.5724, 15.1404, 15.3855, 17.1472, 19.823", \ + "7.02575, 7.75151, 13.1447, 11.7152, 15.9578, 17.7195, 20.3953", \ + "10.084, 8.80974, 10.2054, 14.0625, 17.016, 18.7778, 18.5742", \ + "9.85491, 10.5807, 11.9763, 14.5444, 18.787, 20.5487, 23.2245", \ + "9.2503, 9.97606, 11.3717, 13.9398, 18.1824, 19.9441, 22.6199", \ + "10.8054, 11.5312, 12.9268, 16.7921, 19.7375, 25.4967, 24.175" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(RESETN * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.729, 8.96484, 7.50889, 5.9375, 5.43126, 6.52082, 8.69994", \ + "9.82277, 9.05861, 7.60266, 8.97774, 5.52503, 6.61459, 8.79372", \ + "14.0112, 9.24952, 7.79357, 9.16865, 5.71593, 6.80549, 8.98462", \ + "11.4404, 13.6423, 12.1863, 6.67969, 6.11119, 7.20075, 10.498", \ + "15.2508, 14.4866, 13.0307, 10.4082, 6.95552, 8.04508, 10.2242", \ + "17.1547, 16.3905, 14.9346, 12.3121, 8.85942, 9.94898, 12.1281", \ + "17.8259, 17.0618, 15.6058, 14.1016, 13.5282, 10.6202, 12.7994" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.75873, 4.09738, -1.17333, -2.4707, -7.42649, -9.72905, -0.682985", \ + "5.59028, 4.92893, -0.341777, -2.69018, -6.59494, -8.8975, 0.148567", \ + "7.21065, 6.5493, 1.27859, -1.06981, -4.97457, -7.27713, -2.22856", \ + "7.31445, 5.62161, 4.3484, 4, -1.90476, -4.20732, -1.15626", \ + "11.7388, 11.0775, 9.80429, 7.45589, 3.55113, -2.74893, -1.69787", \ + "19.9157, 19.2544, 13.9837, 11.6353, 7.7305, 5.42794, 2.48151", \ + "29.3273, 28.666, 27.3928, 23.0469, 21.1396, 14.8396, 7.89563" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.729, 8.96484, 7.50889, 5.9375, 5.43126, 6.52082, 8.69994", \ + "9.82277, 9.05861, 7.60266, 8.97774, 5.52503, 6.61459, 8.79372", \ + "14.0112, 9.24952, 7.79357, 9.16865, 5.71593, 6.80549, 8.98462", \ + "11.4404, 13.6423, 12.1863, 6.67969, 6.11119, 7.20075, 10.498", \ + "15.2508, 14.4866, 13.0307, 10.4082, 6.95552, 8.04508, 10.2242", \ + "17.1547, 16.3905, 14.9346, 12.3121, 8.85942, 9.94898, 12.1281", \ + "17.8259, 17.0618, 15.6058, 14.1016, 13.5282, 10.6202, 12.7994" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.75873, 4.09738, -1.17333, -2.4707, -7.42649, -9.72905, -0.682985", \ + "5.59028, 4.92893, -0.341777, -2.69018, -6.59494, -8.8975, 0.148567", \ + "7.21065, 6.5493, 1.27859, -1.06981, -4.97457, -7.27713, -2.22856", \ + "7.31445, 5.62161, 4.3484, 4, -1.90476, -4.20732, -1.15626", \ + "11.7388, 11.0775, 9.80429, 7.45589, 3.55113, -2.74893, -1.69787", \ + "19.9157, 19.2544, 13.9837, 11.6353, 7.7305, 5.42794, 2.48151", \ + "29.3273, 28.666, 27.3928, 23.0469, 21.1396, 14.8396, 7.89563" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0610607, -0.0611023, -0.0611658, -0.0612051, -0.0612029, -0.0612038, -0.0611084" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0679955, 0.0668153, 0.0662275, 0.0656907, 0.0653129, 0.0651084, 0.0647632" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0998075, 0.0998354, 0.100059, 0.100006, 0.0998717, 0.0998579, 0.0996619" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0924673, -0.0936169, -0.0945932, -0.0950917, -0.0953843, -0.095864, -0.0959971" \ + ); + } + } + internal_power () { + when : "(!CLK * RESETN * SETN) + (!CLK * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.251182, 0.250125, 0.2551, 0.27947, 0.356204, 0.543485, 0.946749" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.41176, 0.410858, 0.418869, 0.451648, 0.542574, 0.746597, 1.17098" \ + ); + } + } + internal_power () { + when : "(!CLK * RESETN * SETN) + (!CLK * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.415823, 0.414734, 0.419902, 0.444178, 0.521007, 0.708129, 1.11108" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.246398, 0.245511, 0.253573, 0.286028, 0.377354, 0.581471, 1.00684" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0954399, 0.0946708, 0.100775, 0.127664, 0.206368, 0.392401, 0.78729" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.266975, 0.266024, 0.274372, 0.307368, 0.396776, 0.596678, 1.01158" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311664, 0.311036, 0.316966, 0.344048, 0.422641, 0.608506, 1.00316" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0510714, 0.0502464, 0.0587364, 0.0914116, 0.181104, 0.381087, 0.796561" \ + ); + } + } + } + pin (RESETN) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.839788; + rise_capacitance : 0.839788; + rise_capacitance_range (0.738603, 0.839788); + fall_capacitance : 0.837248; + fall_capacitance_range (0.690012, 0.837248); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : recovery_rising; + when : "(D * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7246, -11.5359, -13.1031, -14.7866, -16.961, -20.2288, -25.8455", \ + "-11.1717, -11.9829, -13.5502, -16.4635, -17.4081, -20.6759, -26.2926", \ + "-12.0177, -12.8289, -14.3961, -17.3094, -18.254, -21.5219, -27.1385", \ + "-12.3877, -10.3306, -15.8953, -17.6172, -19.7532, -23.0211, -27.5195", \ + "-12.6596, -13.4708, -15.038, -17.9513, -18.8959, -22.1638, -27.7804", \ + "-10.945, -11.7562, -13.3234, -16.2367, -21.1788, -24.4467, -30.0634", \ + "-7.51586, -8.32711, -9.89432, -11.6504, -13.7522, -21.0176, -26.6342" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7246, -11.5359, -13.1031, -14.7866, -16.961, -20.2288, -25.8455", \ + "-11.1717, -11.9829, -13.5502, -16.4635, -17.4081, -20.6759, -26.2926", \ + "-12.0177, -12.8289, -14.3961, -17.3094, -18.254, -21.5219, -27.1385", \ + "-12.3877, -10.3306, -15.8953, -17.6172, -19.7532, -23.0211, -27.5195", \ + "-12.6596, -13.4708, -15.038, -17.9513, -18.8959, -22.1638, -27.7804", \ + "-10.945, -11.7562, -13.3234, -16.2367, -21.1788, -24.4467, -30.0634", \ + "-7.51586, -8.32711, -9.89432, -11.6504, -13.7522, -21.0176, -26.6342" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : removal_rising; + when : "(D * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.8809, 17.7055, 19.3065, 19.3481, 23.4187, 27.4027, 30.2161", \ + "17.2864, 18.1136, 19.7145, 22.7027, 23.8268, 27.8108, 30.6241", \ + "18.108, 18.9351, 20.5361, 23.5242, 24.6483, 28.6323, 31.4457", \ + "16.9043, 20.5998, 22.2007, 22.3828, 26.313, 30.297, 34.2285", \ + "23.1885, 24.0157, 25.6166, 28.6048, 29.7289, 33.7129, 36.5262", \ + "30.3663, 31.1934, 32.7943, 35.7825, 36.9066, 40.8906, 47.7015", \ + "42.1081, 42.9353, 44.5362, 48.6816, 52.646, 56.63, 63.4409" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.8809, 17.7055, 19.3065, 19.3481, 23.4187, 27.4027, 30.2161", \ + "17.2864, 18.1136, 19.7145, 22.7027, 23.8268, 27.8108, 30.6241", \ + "18.108, 18.9351, 20.5361, 23.5242, 24.6483, 28.6323, 31.4457", \ + "16.9043, 20.5998, 22.2007, 22.3828, 26.313, 30.297, 34.2285", \ + "23.1885, 24.0157, 25.6166, 28.6048, 29.7289, 33.7129, 36.5262", \ + "30.3663, 31.1934, 32.7943, 35.7825, 36.9066, 40.8906, 47.7015", \ + "42.1081, 42.9353, 44.5362, 48.6816, 52.646, 56.63, 63.4409" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "adacond2"; + timing_type : min_pulse_width; + when : "(CLK * SETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "adacond3"; + timing_type : min_pulse_width; + when : "(!CLK * SETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "CLK"; + timing_type : non_seq_hold_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.89398, 3.1167, 3.53909, 1.54053, 3.95338, 3.27676, 1.92353", \ + "3.0112, 3.23392, 3.65631, 4.40891, 4.0706, 3.39399, 2.04075", \ + "3.24823, 3.47095, 3.89333, 4.64593, 4.30763, 3.63101, -1.71972", \ + "0.981445, 3.95532, 4.37771, 2.42188, 4.792, 4.11539, -0.0781197", \ + "4.74268, 4.9654, 5.38779, 2.14289, 1.80458, 1.12796, -0.225269", \ + "6.92812, 7.15084, 7.57323, 4.32833, 3.99002, 3.3134, -2.03733", \ + "11.9601, 12.1829, 12.6052, 10.5273, 9.02204, 4.34792, -1.00281" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_hold_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.9965, 13.4, 14.1706, 12.6392, 15.4454, 15.2041, 14.7214", \ + "13.2955, 13.6989, 10.472, 11.8675, 11.7469, 15.503, 15.0203", \ + "13.9172, 14.3207, 11.0937, 12.4893, 12.3686, 16.1247, 11.6445", \ + "12.3877, 15.6595, 16.4301, 15, 13.7074, 13.4661, 14.1016", \ + "18.3155, 18.719, 19.4895, 16.8876, 16.7669, 16.5256, 16.0429", \ + "29.9588, 30.3623, 27.1354, 28.5309, 28.4102, 24.1714, 19.6912", \ + "51.358, 51.7615, 48.5346, 47.0801, 45.8119, 41.5731, 37.0929" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_type : non_seq_hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.9965, 13.4, 14.1706, 12.6392, 15.4454, 15.2041, 14.7214", \ + "13.2955, 13.6989, 10.472, 11.8675, 11.7469, 15.503, 15.0203", \ + "13.9172, 14.3207, 11.0937, 12.4893, 12.3686, 16.1247, 11.6445", \ + "12.3877, 15.6595, 16.4301, 15, 13.7074, 13.4661, 14.1016", \ + "18.3155, 18.719, 19.4895, 16.8876, 16.7669, 16.5256, 16.0429", \ + "29.9588, 30.3623, 27.1354, 28.5309, 28.4102, 24.1714, 19.6912", \ + "51.358, 51.7615, 48.5346, 47.0801, 45.8119, 41.5731, 37.0929" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "CLK"; + timing_type : non_seq_setup_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.83002, 9.63301, 9.27295, 5.9375, 10.2365, 12.9834, 19.5236", \ + "10.1124, 9.9154, 9.55534, 8.97105, 10.5189, 13.2658, 19.806", \ + "6.67021, 6.47321, 10.1106, 9.52635, 11.0742, 13.8211, 20.3613", \ + "8.98926, 7.54591, 7.18586, 7.89062, 8.14941, 10.8963, 18.5937", \ + "9.73674, 9.53973, 9.17967, 8.59538, 10.1432, 12.8901, 19.4303", \ + "13.118, 12.921, 12.5609, 11.9766, 13.5245, 16.2714, 22.8116", \ + "17.4548, 17.2578, 16.8978, 17.4805, 17.8613, 20.6082, 23.1509" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_setup_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.21948, -4.37633, -4.66544, -4.07471, -4.13715, -2.12091, 5.90907", \ + "-4.43866, -4.59551, -4.88462, -5.36444, -4.35632, -2.34009, 5.68989", \ + "-4.89378, -5.05064, -5.33974, -5.81957, -4.81145, -2.79521, 5.23477", \ + "-3.87109, -6.02794, -6.31705, -5.625, -5.78876, -3.77252, 1.3432", \ + "-8.09397, -8.25082, -8.53993, -9.01975, -8.01163, -5.99539, 2.03458", \ + "-13.6127, -13.7696, -14.0587, -14.5385, -13.5304, -11.5142, -3.48419", \ + "-28.9424, -29.0992, -29.3883, -28.7207, -24.8625, -22.8463, -14.8163" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_type : non_seq_setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.83002, 9.63301, 9.27295, 5.9375, 10.2365, 12.9834, 19.5236", \ + "10.1124, 9.9154, 9.55534, 8.97105, 10.5189, 13.2658, 19.806", \ + "6.67021, 6.47321, 10.1106, 9.52635, 11.0742, 13.8211, 20.3613", \ + "8.98926, 7.54591, 7.18586, 7.89062, 8.14941, 10.8963, 18.5937", \ + "9.73674, 9.53973, 9.17967, 8.59538, 10.1432, 12.8901, 19.4303", \ + "13.118, 12.921, 12.5609, 11.9766, 13.5245, 16.2714, 22.8116", \ + "17.4548, 17.2578, 16.8978, 17.4805, 17.8613, 20.6082, 23.1509" \ + ); + } + } + internal_power () { + when : "(CLK * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0768389, -0.076876, -0.076897, -0.0769235, -0.0768441, -0.0768098, -0.0768102" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0794278, 0.0790866, 0.0788902, 0.0787324, 0.0785614, 0.0782099, 0.0779432" \ + ); + } + } + internal_power () { + when : "(CLK * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.115123, 0.11519, 0.115301, 0.115373, 0.115151, 0.115143, 0.115112" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.111134, -0.11154, -0.111861, -0.112189, -0.112413, -0.112327, -0.112517" \ + ); + } + } + internal_power () { + when : "(CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.174737, 0.171994, 0.174652, 0.19518, 0.262192, 0.430392, 0.798617" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.3322, 0.332152, 0.339557, 0.366017, 0.439188, 0.613387, 0.997127" \ + ); + } + } + internal_power () { + when : "(CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437099, 0.434384, 0.437285, 0.457881, 0.524841, 0.692851, 1.0612" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0696924, 0.0694956, 0.0767404, 0.103548, 0.176588, 0.350828, 0.735158" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0714978, -0.0729464, -0.0738137, -0.0744699, -0.0748106, -0.0751653, -0.0753268" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0809374, 0.0802247, 0.0797824, 0.0793139, 0.0791545, 0.0787811, 0.0784088" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.118779, 0.117607, 0.116847, 0.116738, 0.116287, 0.116068, 0.115668" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.109507, -0.110346, -0.111043, -0.11125, -0.111895, -0.111879, -0.112105" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0348871, 0.0330137, 0.0356263, 0.0488484, 0.0870881, 0.175902, 0.364438" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.151616, 0.151529, 0.157909, 0.176773, 0.224162, 0.3248, 0.534137" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258079, 0.256884, 0.259587, 0.27294, 0.311382, 0.400407, 0.588692" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0722338, -0.0725178, -0.0662491, -0.0466831, 6.38918e-05, 0.101117, 0.310665" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0719557, -0.0720539, -0.0719514, -0.0720387, -0.0719692, -0.0720277, -0.0718803" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0744318, 0.0742123, 0.0739423, 0.0738113, 0.0736359, 0.0733826, 0.0730852" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.119921, 0.120248, 0.11987, 0.120174, 0.119977, 0.120159, 0.119851" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.11606, -0.11663, -0.116743, -0.117079, -0.117285, -0.117284, -0.117362" \ + ); + } + } + } + pin (SETN) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.20889; + rise_capacitance : 1.20572; + rise_capacitance_range (0.951728, 1.20572); + fall_capacitance : 1.20889; + fall_capacitance_range (0.956913, 1.20889); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : recovery_rising; + when : "(!D * RESETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.60643, -5.85589, -8.25922, -10.6836, -12.0081, -16.2914, -21.1018", \ + "-4.02138, -5.27084, -7.67418, -8.10105, -11.4231, -15.7063, -20.5167", \ + "-2.85752, -4.10698, -6.51032, -6.93719, -10.2592, -14.5425, -19.3528", \ + "1.44531, -1.80414, -4.20748, -6.95121, -7.95636, -12.2396, -15.8506", \ + "3.95141, 2.70195, 0.29861, -4.12576, -7.44777, -7.73354, -12.5439", \ + "12.5653, 11.3158, 8.9125, 4.48813, 1.16612, -3.11716, -3.93004", \ + "32.1974, 30.9479, 24.5471, 22.1227, 16.8007, 8.51992, 3.70954" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.60643, -5.85589, -8.25922, -10.6836, -12.0081, -16.2914, -21.1018", \ + "-4.02138, -5.27084, -7.67418, -8.10105, -11.4231, -15.7063, -20.5167", \ + "-2.85752, -4.10698, -6.51032, -6.93719, -10.2592, -14.5425, -19.3528", \ + "1.44531, -1.80414, -4.20748, -6.95121, -7.95636, -12.2396, -15.8506", \ + "3.95141, 2.70195, 0.29861, -4.12576, -7.44777, -7.73354, -12.5439", \ + "12.5653, 11.3158, 8.9125, 4.48813, 1.16612, -3.11716, -3.93004", \ + "32.1974, 30.9479, 24.5471, 22.1227, 16.8007, 8.51992, 3.70954" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : removal_rising; + when : "(!D * RESETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8374, 20.6333, 22.1724, 22.1704, 25.7902, 28.2231, 28.4973", \ + "15.5199, 16.3183, 21.8549, 20.7042, 25.4727, 27.9055, 28.1798", \ + "14.9029, 19.6988, 21.2379, 20.0872, 24.8557, 27.2885, 27.5628", \ + "14.9097, 18.5374, 20.0765, 20.1562, 23.6943, 26.1271, 27.5195", \ + "15.7061, 16.5046, 18.0436, 20.8905, 21.6615, 24.0943, 28.3661", \ + "12.8007, 13.5991, 15.1382, 17.985, 18.756, 21.1889, 25.4606", \ + "11.6306, 12.429, 13.968, 13.9941, 17.5859, 20.0187, 20.293" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8374, 20.6333, 22.1724, 22.1704, 25.7902, 28.2231, 28.4973", \ + "15.5199, 16.3183, 21.8549, 20.7042, 25.4727, 27.9055, 28.1798", \ + "14.9029, 19.6988, 21.2379, 20.0872, 24.8557, 27.2885, 27.5628", \ + "14.9097, 18.5374, 20.0765, 20.1562, 23.6943, 26.1271, 27.5195", \ + "15.7061, 16.5046, 18.0436, 20.8905, 21.6615, 24.0943, 28.3661", \ + "12.8007, 13.5991, 15.1382, 17.985, 18.756, 21.1889, 25.4606", \ + "11.6306, 12.429, 13.968, 13.9941, 17.5859, 20.0187, 20.293" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "CLK"; + timing_type : non_seq_hold_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.83252, 6.11491, 6.67021, 8.98926, 9.73674, 13.118, 17.4548", \ + "5.64732, 5.92971, 6.48501, 7.55772, 9.55154, 12.9328, 17.2696", \ + "5.29907, 5.58146, 6.13677, 7.20947, 9.20329, 12.5845, 16.9214", \ + "5.9375, 8.97105, 9.52635, 7.89062, 8.59538, 11.9766, 17.4805", \ + "9.2446, 9.52699, 6.0848, 7.15751, 9.15132, 12.5326, 16.8694", \ + "10.3565, 6.64138, 7.19668, 8.26939, 10.2632, 13.6444, 17.9813", \ + "12.5803, 12.8626, 13.418, 11.6504, 12.487, 15.8682, 20.2051" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_hold_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.8877, -5.14256, -5.66233, -5.61279, -9.06154, -14.3422, -27.4695", \ + "-5.26787, -5.52274, -6.04251, -7.12212, -9.44172, -14.7224, -27.8497", \ + "-5.99072, -6.24559, -6.76535, -7.84497, -10.1646, -15.4452, -28.5725", \ + "-6.21582, -3.54375, -4.06351, -7.96875, -7.46273, -12.7434, -28.7207", \ + "-6.87692, -3.13429, -3.65405, -4.73367, -7.05327, -12.3339, -25.4612", \ + "-6.058, -6.31287, -6.83264, -3.91475, -6.23435, -11.515, -24.6423", \ + "-4.42017, -0.677541, -1.1973, -5.15626, -4.59652, -9.8772, -23.0045" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_type : non_seq_hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.83252, 6.11491, 6.67021, 8.98926, 9.73674, 13.118, 17.4548", \ + "5.64732, 5.92971, 6.48501, 7.55772, 9.55154, 12.9328, 17.2696", \ + "5.29907, 5.58146, 6.13677, 7.20947, 9.20329, 12.5845, 16.9214", \ + "5.9375, 8.97105, 9.52635, 7.89062, 8.59538, 11.9766, 17.4805", \ + "9.2446, 9.52699, 6.0848, 7.15751, 9.15132, 12.5326, 16.8694", \ + "10.3565, 6.64138, 7.19668, 8.26939, 10.2632, 13.6444, 17.9813", \ + "12.5803, 12.8626, 13.418, 11.6504, 12.487, 15.8682, 20.2051" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "CLK"; + timing_type : non_seq_setup_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.468018, -0.715833, -1.18306, -0.00390676, -1.37335, 3.88525, 6.40747", \ + "-0.732646, -0.980462, -1.44769, -2.26854, -1.63798, 3.62062, 6.14284", \ + "-1.24448, -1.4923, -1.95953, 1.21713, -2.14982, -0.888714, 5.631", \ + "-0.952148, -2.4463, -2.91353, -2.15625, 0.893678, -1.84272, 2.6795", \ + "0.16971, -0.0781058, -0.545334, -1.36618, -0.735626, -3.47202, -0.949806", \ + "-3.74201, -3.98983, -4.45705, -5.2779, -4.64735, -3.38624, -4.86153", \ + "-5.80004, -6.04786, -6.51509, -5.33593, -6.70538, -9.44177, -10.9171" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_setup_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1435, 11.3873, 11.8959, 10.1294, 15.5395, 25.9731, 44.255", \ + "11.1703, 11.4141, 11.9227, 13.0246, 15.5664, 25.9999, 44.2818", \ + "11.2172, 11.461, 11.9696, 13.0715, 15.6133, 22.0493, 40.3312", \ + "8.35693, 11.5276, 12.0363, 10.3125, 15.6799, 22.1159, 38.1953", \ + "10.8744, 11.1182, 11.6268, 12.7287, 15.2705, 21.7065, 35.9909", \ + "10.9239, 11.1677, 11.6763, 12.7782, 11.3224, 17.7585, 32.0429", \ + "8.41767, 8.66143, 9.17008, 7.39258, 8.81621, 15.2522, 29.5367" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_type : non_seq_setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1435, 11.3873, 11.8959, 10.1294, 15.5395, 25.9731, 44.255", \ + "11.1703, 11.4141, 11.9227, 13.0246, 15.5664, 25.9999, 44.2818", \ + "11.2172, 11.461, 11.9696, 13.0715, 15.6133, 22.0493, 40.3312", \ + "8.35693, 11.5276, 12.0363, 10.3125, 15.6799, 22.1159, 38.1953", \ + "10.8744, 11.1182, 11.6268, 12.7287, 15.2705, 21.7065, 35.9909", \ + "10.9239, 11.1677, 11.6763, 12.7782, 11.3224, 17.7585, 32.0429", \ + "8.41767, 8.66143, 9.17008, 7.39258, 8.81621, 15.2522, 29.5367" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "adacond5"; + timing_type : min_pulse_width; + when : "(CLK * RESETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.5912, 23.8037, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(!CLK * RESETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.6321, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(CLK * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.122668, -0.122685, -0.122694, -0.122716, -0.122697, -0.122687, -0.122703" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.124857, 0.124611, 0.12446, 0.124314, 0.124136, 0.123901, 0.123576" \ + ); + } + } + internal_power () { + when : "(CLK * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.143209, 0.143248, 0.143356, 0.14342, 0.143319, 0.143224, 0.143437" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.13928, -0.139607, -0.139905, -0.140014, -0.140148, -0.140211, -0.140253" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.118534, -0.118576, -0.118598, -0.118606, -0.118567, -0.118714, -0.118469" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.121859, 0.121343, 0.120898, 0.120689, 0.120386, 0.12001, 0.119619" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.147446, 0.147566, 0.14766, 0.147764, 0.147616, 0.14786, 0.147367" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.142414, -0.142958, -0.14341, -0.14375, -0.143974, -0.144224, -0.144424" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0233491, 0.0206821, 0.0246208, 0.0449211, 0.102299, 0.236612, 0.521053" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.273116, 0.272128, 0.278788, 0.303157, 0.367601, 0.509333, 0.808963" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.343888, 0.341543, 0.346032, 0.365728, 0.422892, 0.557743, 0.841918" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0467818, -0.0479741, -0.0416668, -0.0165883, 0.0475058, 0.189563, 0.489687" \ + ); + } + } + } + ff (IQN,IQNN) { + clear : "!SETN"; + clear_preset_var1 : L; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + preset : "!RESETN"; + } + } + + cell (DFFHQNx1_ASAP7_75t_L) { + area : 0.2916; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 931.259; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1331.64; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1098.03; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1120.13; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1119.04; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1392.93; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1378.7; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1304.53; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1209.53; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "28.0852, 30.7424, 35.3027, 43.1794, 57.0895, 83.598, 136.166", \ + "29.2434, 31.9031, 36.4598, 44.3351, 58.2384, 84.7524, 137.32", \ + "30.9256, 33.5852, 38.1465, 46.0229, 59.9338, 86.4424, 139.007", \ + "33.0045, 35.6499, 40.2138, 48.0855, 61.9856, 88.5019, 141.07", \ + "35.7533, 38.4068, 42.9651, 50.8461, 64.7736, 91.3355, 143.838", \ + "38.8084, 41.4551, 46.0088, 53.8803, 67.7894, 94.3141, 146.879", \ + "41.2897, 43.9287, 48.4623, 56.3214, 70.2241, 96.8532, 149.289" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.1912, 15.3209, 22.8898, 37.2366, 66.0788, 124.818, 244.228", \ + "11.1911, 15.3207, 22.8909, 37.2434, 66.0735, 124.822, 244.228", \ + "11.1934, 15.3228, 22.8925, 37.2464, 66.0804, 124.818, 244.223", \ + "11.2019, 15.3233, 22.9028, 37.2523, 66.0822, 124.826, 244.23", \ + "11.1944, 15.322, 22.9293, 37.4287, 66.1273, 124.886, 244.234", \ + "11.1965, 15.4166, 22.8927, 37.34, 66.217, 124.919, 244.234", \ + "11.193, 15.3226, 22.8916, 37.2529, 66.1835, 124.96, 244.708" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "25.9768, 28.8206, 33.6441, 41.378, 54.4, 78.4653, 125.577", \ + "27.1031, 29.9445, 34.7693, 42.5015, 55.5266, 79.5896, 126.702", \ + "28.943, 31.7845, 36.6062, 44.3381, 57.3609, 81.4289, 128.542", \ + "31.2362, 34.0731, 38.8957, 46.6331, 59.6698, 83.7246, 130.837", \ + "34.1698, 36.9944, 41.8082, 49.5425, 62.5669, 86.6286, 133.753", \ + "37.5114, 40.3317, 45.141, 52.8788, 65.928, 90.0364, 137.168", \ + "40.5506, 43.3569, 48.1917, 55.9291, 68.9278, 93.02, 140.143" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.229, 15.0036, 21.7002, 34.0413, 58.2123, 107.28, 207.857", \ + "11.2277, 15.0042, 21.7007, 34.0411, 58.214, 107.28, 207.857", \ + "11.2274, 15.0006, 21.6988, 34.039, 58.2092, 107.279, 207.854", \ + "11.2652, 15.0491, 21.7394, 34.0713, 58.2319, 107.29, 207.86", \ + "11.3018, 15.0671, 21.792, 34.2177, 58.2118, 107.293, 207.897", \ + "11.4354, 15.1903, 21.8573, 34.1637, 58.2837, 107.705, 207.94", \ + "11.7883, 15.5234, 22.1179, 34.3775, 59.0206, 107.707, 211.51" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.710974, 0.700279, 0.692992, 0.690071, 0.689815, 0.6906, 0.691259", \ + "0.710157, 0.699622, 0.692115, 0.689116, 0.688884, 0.689441, 0.690212", \ + "0.716501, 0.705806, 0.698724, 0.695764, 0.695517, 0.696128, 0.6969", \ + "0.740118, 0.728737, 0.72159, 0.718218, 0.717501, 0.717996, 0.718615", \ + "0.805984, 0.794843, 0.78831, 0.788159, 0.786389, 0.78658, 0.78408", \ + "0.95532, 0.9458, 0.938926, 0.936397, 0.940146, 0.938752, 0.935773", \ + "1.28169, 1.26999, 1.26124, 1.25745, 1.25975, 1.2668, 1.26808" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.694616, 0.679614, 0.668514, 0.663397, 0.660721, 0.658967, 0.657972", \ + "0.693154, 0.678023, 0.666961, 0.661607, 0.65901, 0.657247, 0.656154", \ + "0.698639, 0.683643, 0.67254, 0.667226, 0.66456, 0.66299, 0.661945", \ + "0.722147, 0.706798, 0.695668, 0.689935, 0.687379, 0.685765, 0.684716", \ + "0.784098, 0.767295, 0.755957, 0.750385, 0.747693, 0.746553, 0.74595", \ + "0.933124, 0.916632, 0.902933, 0.896753, 0.893639, 0.892793, 0.891489", \ + "1.2561, 1.2425, 1.22354, 1.21676, 1.21692, 1.21526, 1.21445" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.863906, 0.85319, 0.845918, 0.842973, 0.842662, 0.843436, 0.844074", \ + "0.86302, 0.852266, 0.845003, 0.841982, 0.84173, 0.842258, 0.843029", \ + "0.86913, 0.858415, 0.851304, 0.848295, 0.847995, 0.848608, 0.849345", \ + "0.892196, 0.88048, 0.874395, 0.87121, 0.870776, 0.871462, 0.872094", \ + "0.957985, 0.947007, 0.93915, 0.936271, 0.935787, 0.937045, 0.937477", \ + "1.10811, 1.09766, 1.0902, 1.08678, 1.08551, 1.08612, 1.0868", \ + "1.43432, 1.42269, 1.41497, 1.41117, 1.41066, 1.41156, 1.41181" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.841059, 0.826032, 0.814924, 0.809762, 0.807116, 0.805339, 0.804282", \ + "0.839053, 0.823968, 0.812936, 0.807591, 0.805025, 0.803233, 0.802129", \ + "0.843881, 0.828867, 0.817761, 0.812464, 0.809869, 0.808273, 0.807249", \ + "0.86621, 0.850831, 0.839341, 0.834052, 0.831479, 0.829863, 0.82868", \ + "0.929002, 0.912086, 0.902437, 0.898922, 0.891365, 0.889211, 0.887182", \ + "1.07751, 1.06166, 1.04836, 1.04279, 1.03987, 1.0518, 1.03998", \ + "1.40111, 1.38765, 1.36859, 1.36057, 1.37491, 1.379, 1.47389" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.538187; + rise_capacitance : 0.536792; + rise_capacitance_range (0.437039, 0.536792); + fall_capacitance : 0.538187; + fall_capacitance_range (0.432877, 0.538187); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.662381, 0.660178, 0.67044, 0.706232, 0.788628, 0.968488, 1.33974" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.52293, 0.521153, 0.531854, 0.567284, 0.650451, 0.829348, 1.20071" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311311, 0.309697, 0.316226, 0.338452, 0.399342, 0.543873, 0.858202" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.471315, 0.469295, 0.478083, 0.508168, 0.579089, 0.739125, 1.0733" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.456215, 0.455229, 0.461575, 0.483558, 0.544603, 0.68925, 1.00327" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325738, 0.323914, 0.332643, 0.362723, 0.434248, 0.593435, 0.928127" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306259, 0.304644, 0.310802, 0.332724, 0.39518, 0.539433, 0.85385" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.477415, 0.476142, 0.484239, 0.515192, 0.584074, 0.744197, 1.07999" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.459453, 0.457646, 0.463486, 0.48525, 0.54748, 0.692063, 1.00653" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.324591, 0.323029, 0.331419, 0.361993, 0.431824, 0.591222, 0.927152" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.750097, 0.748974, 0.755214, 0.783875, 0.855593, 1.01422, 1.34753" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.57865, 0.57678, 0.583722, 0.612758, 0.684196, 0.842584, 1.17617" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.659249; + rise_capacitance : 0.659249; + rise_capacitance_range (0.599901, 0.659249); + fall_capacitance : 0.656655; + fall_capacitance_range (0.58497, 0.656655); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.66992, -1.70367, 2.22608, -0.778808, 1.81235, 1.2415, -3.96362", \ + "-1.82245, -1.8562, 2.07355, 1.93701, 1.65982, 1.08897, -4.11615", \ + "-2.10978, -2.14353, 1.78622, 1.64968, 1.37249, 0.801635, -4.40348", \ + "-1.44531, -2.64727, 1.28247, -1.64062, 0.868747, 0.297892, -3.78906", \ + "-2.20267, -2.23642, -2.30417, 1.55679, 1.2796, 0.708745, -4.49637", \ + "-1.38097, -1.41471, -1.48247, -1.619, -1.89619, -2.46705, -3.67466", \ + "0.262445, 0.228698, 0.160945, 1.1914, -0.252781, -0.823637, -6.02875" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.77045, 9.5042, 10.9175, 10.6982, 13.8833, 16.1873, 19.7336", \ + "9.0382, 9.77195, 11.1853, 13.7953, 14.1511, 16.455, 20.0013", \ + "5.55416, 10.2854, 11.6987, 14.3087, 14.6646, 16.9685, 16.5173", \ + "7.68066, 7.22667, 8.64, 12.5, 15.6033, 17.9072, 18.5742", \ + "6.60704, 7.34079, 8.75412, 11.3641, 15.7174, 18.0214, 21.5677", \ + "6.83527, 7.56902, 8.98236, 15.5899, 15.9457, 18.2496, 21.7959", \ + "7.29174, 8.02549, 9.43883, 13.4219, 16.4021, 22.7036, 22.2524" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.44515, 3.55775, 3.00049, 6.50988, 3.59915, 9.7702", \ + "9.1153, 4.65085, 3.76344, 6.17219, 6.71557, 3.80485, 9.97589", \ + "9.51683, 5.05237, 4.16497, 6.57371, 7.1171, 4.20637, 10.3774", \ + "7.31445, 5.816, 4.9286, 4.45312, 3.88323, 4.97, 8.26171", \ + "11.65, 7.18555, 6.29815, 4.70939, 5.25278, 6.33955, 8.5131", \ + "13.7583, 9.2938, 8.40639, 6.81764, 7.36102, 8.4478, 10.6213", \ + "15.4514, 10.9869, 10.0995, 9.62891, 9.05413, 6.14341, 12.3145" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.15497, 3.47394, 2.18106, -3.0625, -3.20918, -5.37408, 6.28614", \ + "5.07949, 4.39846, 3.10557, -3.20097, -6.28217, -4.44956, 7.21066", \ + "6.87908, 6.19804, 0.907661, -1.40138, -4.48258, -6.64747, 9.01024", \ + "7.31445, 5.60193, 4.30905, 4, -1.0812, -3.24609, 9.7532", \ + "12.2946, 11.6135, 10.3207, 8.01161, 0.932911, -1.23198, 6.43074", \ + "17.1556, 16.4746, 15.1817, 12.8727, 9.79148, 3.62908, 3.2968", \ + "30.2092, 29.5281, 24.2378, 23.0469, 18.8475, 12.6851, 8.35535" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0592358, -0.0608199, -0.0611756, -0.061596, -0.0619547, -0.0626823, -0.0624485" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0667629, 0.066837, 0.0666805, 0.0664354, 0.0661486, 0.0663564, 0.065895" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103793, 0.103342, 0.102488, 0.10161, 0.101284, 0.100354, 0.0994907" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0950288, -0.0954978, -0.0957812, -0.0961553, -0.0959934, -0.0967412, -0.0962808" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.181489, 0.181169, 0.188167, 0.215934, 0.297485, 0.489872, 0.896138" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338174, 0.338435, 0.347414, 0.383068, 0.476382, 0.682014, 1.10584" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37797, 0.377247, 0.384014, 0.411814, 0.493891, 0.686417, 1.09185" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.142413, 0.142163, 0.151895, 0.187102, 0.279834, 0.486, 0.910806" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQNx2_ASAP7_75t_L) { + area : 0.30618; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1151.94; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1659.9; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1318.71; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1448.39; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1339.72; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1721.21; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1599.4; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1632.79; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1484.01; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.1639, 36.0861, 41.0561, 49.5165, 64.0549, 91.0707, 143.991", \ + "34.3279, 37.2501, 42.2188, 50.6805, 65.2223, 92.2345, 145.154", \ + "36.0147, 38.9316, 43.9037, 52.3639, 66.9168, 93.9184, 146.838", \ + "38.1443, 41.0424, 46.0181, 54.464, 69.0184, 96.0136, 148.93", \ + "40.8579, 43.7765, 48.753, 57.2125, 71.7652, 98.7786, 151.689", \ + "43.9734, 46.8839, 51.8503, 60.3056, 74.8394, 101.857, 154.834", \ + "46.6461, 49.544, 54.4904, 62.9301, 77.464, 104.458, 157.558" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "13.9167, 18.0263, 25.7962, 40.4198, 69.3641, 128.233, 248.323", \ + "13.9125, 18.0242, 25.7977, 40.42, 69.3718, 128.233, 248.323", \ + "13.9022, 18.0275, 25.7987, 40.4249, 69.3749, 128.235, 248.323", \ + "13.9463, 18.0383, 25.8353, 40.4425, 69.4065, 128.251, 248.329", \ + "13.9282, 18.0705, 25.8665, 40.4543, 69.4106, 128.241, 248.327", \ + "13.9353, 18.0659, 25.8179, 40.5622, 69.3719, 128.446, 248.377", \ + "14.0077, 18.1058, 25.8526, 40.4593, 69.5065, 128.77, 249.401" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "31.861, 35.0028, 40.305, 48.7623, 62.6823, 87.5965, 135.382", \ + "32.9905, 36.1287, 41.4306, 49.8866, 63.8068, 88.7307, 136.506", \ + "34.819, 37.9526, 43.2542, 51.709, 65.6307, 90.5551, 138.33", \ + "37.1134, 40.2629, 45.557, 54.0117, 67.9368, 92.8533, 140.628", \ + "39.9984, 43.1281, 48.4264, 56.887, 70.8017, 95.7433, 143.508", \ + "43.2765, 46.4066, 51.6982, 60.1581, 74.08, 99.0126, 146.823", \ + "46.2386, 49.3596, 54.6519, 63.1222, 77.0862, 102.024, 149.804" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.6035, 18.3914, 25.216, 38.0165, 62.8865, 112.926, 215.574", \ + "14.5999, 18.3948, 25.2123, 38.0269, 62.886, 112.938, 215.573", \ + "14.586, 18.3876, 25.2079, 38.0337, 62.8835, 112.937, 215.573", \ + "14.6133, 18.42, 25.2427, 38.0826, 62.915, 112.945, 215.578", \ + "14.5917, 18.4384, 25.3162, 38.0782, 62.8919, 112.943, 215.591", \ + "14.67, 18.4314, 25.2753, 38.0778, 62.9407, 113.174, 215.595", \ + "14.8561, 18.6479, 25.4738, 38.2589, 63.2061, 113.089, 216.55" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.949788, 0.898741, 0.858056, 0.835038, 0.823753, 0.818478, 0.816229", \ + "0.948958, 0.898063, 0.856937, 0.834171, 0.822783, 0.817465, 0.815122", \ + "0.956081, 0.90438, 0.863741, 0.840697, 0.829251, 0.82401, 0.821699", \ + "0.980609, 0.927109, 0.885896, 0.862357, 0.847103, 0.841089, 0.838099", \ + "1.04487, 0.992548, 0.954053, 0.930102, 0.915464, 0.90814, 0.906458", \ + "1.19569, 1.14447, 1.10328, 1.0888, 1.06794, 1.08127, 1.06406", \ + "1.52369, 1.47054, 1.42847, 1.40219, 1.40193, 1.46013, 1.45971" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.03559, 0.975179, 0.915039, 0.878885, 0.860109, 0.84906, 0.842239", \ + "1.03439, 0.973586, 0.913389, 0.87684, 0.858115, 0.847221, 0.840505", \ + "1.03968, 0.978739, 0.918662, 0.882091, 0.863428, 0.852576, 0.845913", \ + "1.06349, 1.00272, 0.942104, 0.906487, 0.887038, 0.875913, 0.869094", \ + "1.12362, 1.06031, 0.999627, 0.963463, 0.945337, 0.934241, 0.927841", \ + "1.26921, 1.2078, 1.14534, 1.10785, 1.08892, 1.07835, 1.07291", \ + "1.59736, 1.53476, 1.4693, 1.4301, 1.40883, 1.39837, 1.39173" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.10266, 1.0516, 1.0109, 0.987849, 0.976521, 0.971297, 0.968955", \ + "1.10182, 1.05089, 1.00974, 0.986934, 0.975511, 0.970202, 0.967842", \ + "1.10865, 1.05691, 1.01624, 0.993133, 0.981619, 0.976361, 0.974005", \ + "1.13409, 1.08286, 1.04173, 1.01788, 1.00673, 1.00106, 0.998312", \ + "1.1979, 1.14456, 1.10327, 1.08038, 1.06944, 1.06392, 1.06158", \ + "1.34771, 1.29628, 1.25451, 1.23095, 1.21788, 1.21289, 1.21107", \ + "1.67683, 1.62401, 1.58184, 1.55618, 1.54297, 1.538, 1.53577" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.182, 1.12156, 1.06138, 1.02519, 1.00644, 0.99529, 0.988499", \ + "1.18029, 1.11954, 1.05938, 1.02282, 1.00415, 0.993181, 0.986441", \ + "1.185, 1.12408, 1.06406, 1.02756, 1.00901, 0.998136, 0.991473", \ + "1.20669, 1.14593, 1.08497, 1.04642, 1.02822, 1.01683, 1.00973", \ + "1.26909, 1.20658, 1.14673, 1.1107, 1.08787, 1.07696, 1.06886", \ + "1.41511, 1.35293, 1.29071, 1.25502, 1.2403, 1.24219, 1.21739", \ + "1.74225, 1.67876, 1.61189, 1.57485, 1.56031, 1.55724, 1.58503" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.538152; + rise_capacitance : 0.536915; + rise_capacitance_range (0.43689, 0.536915); + fall_capacitance : 0.538152; + fall_capacitance_range (0.432254, 0.538152); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.661529, 0.659307, 0.669485, 0.705341, 0.788321, 0.968024, 1.34086" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.522103, 0.52032, 0.530928, 0.566476, 0.64905, 0.829005, 1.20181" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311633, 0.309539, 0.316346, 0.338674, 0.399312, 0.54366, 0.857974" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.471167, 0.469487, 0.478274, 0.507095, 0.579444, 0.739019, 1.0728" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.456496, 0.455239, 0.461668, 0.483671, 0.544549, 0.689009, 1.00301" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326171, 0.324125, 0.332859, 0.361988, 0.433986, 0.593363, 0.928174" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306123, 0.304736, 0.310742, 0.332587, 0.394937, 0.539231, 0.853467" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.477889, 0.476561, 0.484569, 0.515473, 0.584636, 0.744341, 1.08003" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.459602, 0.457601, 0.463383, 0.484824, 0.547156, 0.691803, 1.00603" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.324788, 0.323495, 0.331794, 0.362314, 0.431811, 0.591339, 0.927232" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.750394, 0.749327, 0.755517, 0.784111, 0.855668, 1.0143, 1.3474" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.579086, 0.5773, 0.584007, 0.612975, 0.684354, 0.84251, 1.17643" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.659173; + rise_capacitance : 0.659173; + rise_capacitance_range (0.600063, 0.659173); + fall_capacitance : 0.656849; + fall_capacitance_range (0.584932, 0.656849); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.81732, 2.06728, 2.54202, 0.600587, -0.922676, -1.55465, -2.8186", \ + "-2.50957, 1.73789, -1.78488, -0.936079, -1.25207, -1.88404, -3.14799", \ + "0.860168, 1.11012, 1.58486, -1.56384, 2.11767, -2.51181, -3.77575", \ + "-3.04199, -0.0213457, 0.453392, -1.40625, 0.986201, 0.354227, -3.78906", \ + "-0.0529685, 0.196985, 0.671722, 1.52052, 1.20453, 0.572558, -4.68889", \ + "-3.61381, 0.633646, 1.10838, -2.04032, 1.64119, -2.98828, -4.25223", \ + "1.25702, 1.50697, 1.98171, 0.0390574, 2.51452, -2.11496, -3.37891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.30663, 10.0988, 11.6241, 11.687, 15.1227, 17.1314, 20.7224", \ + "9.41465, 10.2069, 11.7321, 14.5462, 15.2307, 17.2394, 16.8329", \ + "9.62714, 10.4193, 11.9446, 10.7612, 15.4432, 17.4519, 17.0454", \ + "7.84237, 10.83, 12.3553, 12.5, 15.8539, 17.8626, 18.5742", \ + "6.80459, 7.5968, 13.1196, 11.9361, 16.6182, 18.6269, 18.2203", \ + "8.10468, 8.89688, 10.4222, 13.2362, 17.9183, 19.9269, 19.5204", \ + "9.79103, 10.5832, 12.1085, 16.1858, 19.6046, 21.6133, 25.2043" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.44515, 3.55775, 3.00049, 6.50988, 3.59915, 9.7702", \ + "9.1153, 4.65085, 3.76344, 6.17219, 6.71557, 3.80485, 9.97589", \ + "9.51683, 9.04987, 4.16497, 6.57371, 7.1171, 4.20637, 10.3774", \ + "7.31445, 5.816, 4.9286, 4.45312, 3.88323, 4.97, 8.26171", \ + "11.65, 7.18555, 6.29815, 4.70939, 5.25278, 6.33955, 8.5131", \ + "13.7583, 9.2938, 8.40639, 6.81764, 7.36102, 8.4478, 10.6213", \ + "15.4514, 14.9844, 10.0995, 9.62891, 9.05413, 10.1409, 12.3145" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.06049, 3.40444, -1.86096, -3.18848, -4.23329, -7.76615, -0.501891", \ + "4.99979, 4.34374, -0.921664, -3.28067, -3.29399, -6.82685, 0.43741", \ + "6.82766, 6.17161, 0.906204, -1.4528, -1.46613, -4.99898, -1.73222", \ + "7.31445, 5.62691, 4.35901, 4, -2.01082, -5.54368, -5.15626", \ + "12.3768, 11.7208, 10.4529, 8.09388, 4.08305, 0.550197, -0.180543", \ + "17.3202, 16.6641, 15.3962, 13.0372, 9.02639, 5.49353, 0.765294", \ + "30.2092, 29.5531, 28.2852, 23.0469, 17.9179, 14.385, 9.6568" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0589833, -0.0607763, -0.0611298, -0.0615549, -0.0619098, -0.0621817, -0.0624053" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.066841, 0.0668824, 0.0665303, 0.0665261, 0.0666452, 0.0664288, 0.0659691" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102379, 0.103399, 0.102541, 0.101822, 0.101334, 0.100333, 0.0995464" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0949604, -0.0954052, -0.0955891, -0.0961009, -0.0962261, -0.0966762, -0.0962175" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.181516, 0.18116, 0.188131, 0.215948, 0.297756, 0.489756, 0.896125" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338822, 0.33867, 0.347664, 0.383281, 0.476595, 0.682243, 1.10616" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.377981, 0.377433, 0.383748, 0.411886, 0.494866, 0.686026, 1.09192" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.142514, 0.142338, 0.152067, 0.187253, 0.279991, 0.486175, 0.911022" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQNx3_ASAP7_75t_L) { + area : 0.32076; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1372.61; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1988.17; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1539.39; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1776.65; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1560.4; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2049.5; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1820.1; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1961.06; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1758.49; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "37.7644, 40.0094, 43.8076, 50.4048, 61.4639, 80.7904, 117.006", \ + "38.908, 41.1463, 44.9484, 51.5425, 62.6003, 81.9393, 118.153", \ + "40.6085, 42.8532, 46.6496, 53.2505, 64.3078, 83.645, 119.85", \ + "42.728, 44.9639, 48.7552, 55.3765, 66.4198, 85.7536, 121.957", \ + "45.4607, 47.7269, 51.5053, 58.1106, 69.1578, 88.4768, 124.69", \ + "48.5717, 50.8071, 54.6062, 61.1996, 72.2621, 91.5929, 127.965", \ + "51.3051, 53.5442, 57.3285, 63.9092, 74.9456, 94.2725, 130.466" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.2388, 18.9262, 24.2617, 34.4766, 54.2259, 93.5941, 173.778", \ + "16.245, 18.9303, 24.2415, 34.4779, 54.2267, 93.6145, 173.779", \ + "16.2404, 18.925, 24.2642, 34.4783, 54.2276, 93.616, 173.777", \ + "16.2376, 18.9437, 24.2422, 34.5223, 54.2548, 93.6246, 173.794", \ + "16.2714, 19.0991, 24.2773, 34.5718, 54.2432, 93.6117, 173.785", \ + "16.2787, 18.9577, 24.2713, 34.4933, 54.4383, 94.2671, 174.033", \ + "16.3805, 19.0454, 24.3555, 34.5433, 54.3633, 94.1226, 174.023" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "36.8661, 39.2483, 43.3377, 50.1801, 61.0916, 79.4478, 112.514", \ + "37.993, 40.3735, 44.466, 51.3053, 62.2113, 80.5725, 113.639", \ + "39.7979, 42.1859, 46.2779, 53.116, 64.0221, 82.3842, 115.45", \ + "42.1188, 44.4962, 48.5836, 55.4139, 66.3157, 84.675, 117.74", \ + "44.9357, 47.3188, 51.4123, 58.2468, 69.1464, 87.4534, 120.555", \ + "48.1201, 50.5058, 54.5961, 61.4346, 72.3494, 90.6848, 123.732", \ + "50.9769, 53.3641, 57.4532, 64.3116, 75.2353, 93.6256, 126.686" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.2529, 19.8074, 24.5175, 33.2805, 50.1546, 83.2288, 150.083", \ + "17.2545, 19.8066, 24.5171, 33.2799, 50.1548, 83.2294, 150.083", \ + "17.2511, 19.7987, 24.5064, 33.2764, 50.1525, 83.2281, 150.082", \ + "17.2428, 19.8002, 24.5367, 33.3027, 50.1693, 83.2422, 150.09", \ + "17.2441, 19.7922, 24.5905, 33.331, 50.1678, 83.1981, 150.097", \ + "17.2288, 19.7914, 24.5172, 33.2948, 50.1877, 83.5394, 150.081", \ + "17.355, 19.9379, 24.6791, 33.4549, 50.3538, 83.3694, 150.297" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.40608, 1.31215, 1.19546, 1.1056, 1.05334, 1.02465, 1.00917", \ + "1.40525, 1.3109, 1.19489, 1.10413, 1.0519, 1.02364, 1.00791", \ + "1.41174, 1.31728, 1.20091, 1.11108, 1.05869, 1.02987, 1.01444", \ + "1.43657, 1.34139, 1.22466, 1.131, 1.07748, 1.04479, 1.02814", \ + "1.50096, 1.4055, 1.29259, 1.2013, 1.14671, 1.1119, 1.09681", \ + "1.65339, 1.55765, 1.44381, 1.3503, 1.30828, 1.31904, 1.2824", \ + "1.98022, 1.88406, 1.76577, 1.67256, 1.62455, 1.62682, 1.59845" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55184, 1.45728, 1.3229, 1.19232, 1.11251, 1.06996, 1.04482", \ + "1.55034, 1.4559, 1.32178, 1.19052, 1.11047, 1.06818, 1.04295", \ + "1.55535, 1.46142, 1.32726, 1.19578, 1.11576, 1.07342, 1.04827", \ + "1.57927, 1.48481, 1.35108, 1.21963, 1.13918, 1.0965, 1.07133", \ + "1.63715, 1.54181, 1.40887, 1.27629, 1.19603, 1.155, 1.12947", \ + "1.78047, 1.68902, 1.55224, 1.41889, 1.33808, 1.29586, 1.27205", \ + "2.10516, 2.0116, 1.87377, 1.73949, 1.65751, 1.61273, 1.58735" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55905, 1.46505, 1.34836, 1.25846, 1.20623, 1.17752, 1.16205", \ + "1.55835, 1.46395, 1.3479, 1.25711, 1.20482, 1.17652, 1.16079", \ + "1.56442, 1.46991, 1.35349, 1.26361, 1.21117, 1.18229, 1.16682", \ + "1.58906, 1.49515, 1.37793, 1.29099, 1.2369, 1.20837, 1.19225", \ + "1.65271, 1.56018, 1.44196, 1.3529, 1.29819, 1.27032, 1.25422", \ + "1.80581, 1.70988, 1.59373, 1.50075, 1.44704, 1.41817, 1.40373", \ + "2.13307, 2.03675, 1.91867, 1.82529, 1.7708, 1.74131, 1.72462" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.69832, 1.60375, 1.46932, 1.33874, 1.25884, 1.2164, 1.19119", \ + "1.69631, 1.60193, 1.46786, 1.33663, 1.25656, 1.21436, 1.18908", \ + "1.70077, 1.60686, 1.47274, 1.34137, 1.26141, 1.21924, 1.19408", \ + "1.72311, 1.62818, 1.49331, 1.36075, 1.27961, 1.23639, 1.21091", \ + "1.78146, 1.68707, 1.55258, 1.42557, 1.34007, 1.28508, 1.26861", \ + "1.92552, 1.83543, 1.69846, 1.5649, 1.4866, 1.46033, 1.40597", \ + "2.24993, 2.15619, 2.01797, 1.88721, 1.80941, 1.78769, 1.77287" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.538436; + rise_capacitance : 0.537255; + rise_capacitance_range (0.437013, 0.537255); + fall_capacitance : 0.538436; + fall_capacitance_range (0.432355, 0.538436); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.4004, 35.4004, 35.4004, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.5176, 30.5176, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.661245, 0.659226, 0.669102, 0.704718, 0.787336, 0.967742, 1.34167" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521747, 0.520135, 0.530478, 0.565729, 0.64876, 0.828655, 1.20258" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311932, 0.309878, 0.316665, 0.339126, 0.399652, 0.543805, 0.85807" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472148, 0.470063, 0.478072, 0.509262, 0.580156, 0.739286, 1.07341" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.456958, 0.455665, 0.462072, 0.484129, 0.544909, 0.689235, 1.00318" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327055, 0.324636, 0.332776, 0.363663, 0.434181, 0.593561, 0.928183" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.30687, 0.305181, 0.311061, 0.332902, 0.395152, 0.539679, 0.85361" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47852, 0.477195, 0.485127, 0.516033, 0.58499, 0.74467, 1.08035" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.460316, 0.458073, 0.463796, 0.484951, 0.547309, 0.692531, 1.00627" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325368, 0.324042, 0.332257, 0.362774, 0.432302, 0.59167, 0.927459" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.751934, 0.749816, 0.756, 0.784549, 0.855978, 1.01423, 1.34804" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580085, 0.577703, 0.584387, 0.61331, 0.684584, 0.842823, 1.17646" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.659377; + rise_capacitance : 0.659377; + rise_capacitance_range (0.599534, 0.659377); + fall_capacitance : 0.65694; + fall_capacitance_range (0.585092, 0.65694); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05316, 1.28521, 1.72461, -0.207519, 2.08815, 1.25528, -4.40795", \ + "0.974092, 1.20614, 1.64554, 2.42551, 2.00908, 1.17621, -4.48702", \ + "0.823697, 1.05575, 1.49514, 2.27511, 1.85868, 1.02581, -4.63742", \ + "-2.13867, 0.785946, 1.22534, -0.625, 1.58888, 0.756013, -3.78906", \ + "-3.36339, 0.866163, 1.30556, 2.08553, 1.6691, 0.83623, -4.827", \ + "-3.20295, -2.9709, 1.46599, -1.75154, 1.82953, -3.00084, -4.66657", \ + "1.11541, 1.34746, 1.78686, -0.185552, 2.1504, 1.31753, -4.3457" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.86908, 10.5837, 11.9623, 11.8628, 14.8143, 17.6532, 17.8381", \ + "9.79729, 10.512, 11.8905, 14.4445, 14.7425, 17.5814, 17.7663", \ + "9.6709, 10.3856, 11.7641, 14.3181, 14.6161, 17.455, 17.64", \ + "6.85303, 10.2017, 11.5802, 11.5625, 14.4322, 17.2711, 18.5742", \ + "10.4963, 11.211, 12.5896, 15.1436, 15.4416, 18.2804, 18.4654", \ + "10.3116, 11.0263, 12.4048, 14.9588, 19.2543, 22.0932, 22.2782", \ + "12.5549, 13.2696, 14.6482, 18.4766, 21.4977, 24.3365, 24.5215" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.44515, 3.55775, 3.00049, 6.50988, 3.59915, 9.7702", \ + "9.1153, 4.65085, 7.76094, 6.17219, 6.71557, 3.80485, 9.97589", \ + "9.51683, 9.04987, 8.16247, 6.57371, 7.1171, 4.20637, 10.3774", \ + "7.31445, 9.8135, 4.9286, 4.45312, 3.88323, 4.97, 8.26171", \ + "11.65, 11.183, 6.29815, 8.70689, 5.25278, 6.33955, 8.5131", \ + "13.7583, 13.2913, 8.40639, 6.81764, 7.36102, 8.4478, 10.6213", \ + "15.4514, 14.9844, 14.097, 9.62891, 9.05413, 10.1409, 12.3145" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.06049, 3.40824, -1.85336, -3.18848, -4.30936, -9.89778, -6.73572", \ + "4.99979, 4.34754, -0.914058, -3.28067, -3.37006, -8.95848, -9.79392", \ + "6.82766, 6.17541, 0.91381, -1.4528, -5.53969, -7.13061, -7.96605", \ + "7.31445, 5.63071, 4.36661, 4, -2.08689, -3.67781, -7.39258", \ + "12.3768, 11.7246, 10.4605, 8.09388, 4.00699, -1.58143, -2.41687", \ + "17.3202, 16.6679, 15.4038, 13.0372, 8.95033, 3.3619, 2.52647", \ + "30.2092, 29.5569, 28.2928, 23.0469, 17.8418, 12.2534, 7.42047" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0590135, -0.0607329, -0.0610896, -0.0615502, -0.0618928, -0.061884, -0.0623662" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0669256, 0.0668233, 0.0666802, 0.0663724, 0.0666188, 0.066506, 0.0660479" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102918, 0.103438, 0.102586, 0.101946, 0.10147, 0.0998978, 0.099581" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0948754, -0.0951761, -0.0953554, -0.0958586, -0.0959403, -0.0965965, -0.0961367" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.181288, 0.18084, 0.188019, 0.215666, 0.297441, 0.489662, 0.89582" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338635, 0.338718, 0.347717, 0.383339, 0.476642, 0.682273, 1.10614" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.377987, 0.377512, 0.38375, 0.411616, 0.494605, 0.686148, 1.09168" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.143166, 0.142359, 0.152084, 0.187289, 0.280003, 0.486175, 0.910991" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQx4_ASAP7_75t_L) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2464.98; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2542.59; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2631.76; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2331.08; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2652.77; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2603.9; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2912.45; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2515.48; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2581.88; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.2321, 39.42, 41.4709, 45.1265, 51.9742, 65.4571, 92.3258", \ + "39.3585, 40.5491, 42.5969, 46.2406, 53.0979, 66.5773, 93.4453", \ + "41.1845, 42.3723, 44.423, 48.0779, 54.9249, 68.4085, 95.2749", \ + "43.4992, 44.6875, 46.7382, 50.3815, 57.2405, 70.7199, 97.588", \ + "46.3628, 47.5509, 49.6016, 53.2519, 60.0961, 73.5815, 100.472", \ + "49.6566, 50.8412, 52.8802, 56.5394, 63.3965, 76.9501, 103.774", \ + "52.6918, 53.876, 55.9443, 59.5921, 66.4162, 79.905, 106.851" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.39048, 8.16001, 11.6464, 18.8911, 34.0171, 65.1074, 128.002", \ + "6.39011, 8.16287, 11.6467, 18.8821, 34.0179, 65.1077, 128.009", \ + "6.3887, 8.15876, 11.646, 18.8913, 34.0176, 65.1076, 128.033", \ + "6.40009, 8.16946, 11.6549, 18.8868, 34.021, 65.109, 128.012", \ + "6.39565, 8.16651, 11.6511, 18.9186, 34.0448, 65.1054, 128.028", \ + "6.3928, 8.16, 11.6361, 18.8853, 34.1077, 65.1753, 128.287", \ + "6.4205, 8.1858, 11.6817, 18.9124, 34.0489, 65.225, 128.312" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.4894, 39.6544, 41.6303, 45.0109, 51.1867, 63.1479, 86.9482", \ + "39.6545, 40.8201, 42.7971, 46.1803, 52.3582, 64.3232, 88.1193", \ + "41.3395, 42.5028, 44.4764, 47.8711, 54.0384, 66.002, 89.796", \ + "43.4669, 44.6326, 46.6104, 50.0042, 56.1735, 68.1396, 91.9351", \ + "46.1868, 47.3533, 49.3295, 52.7193, 58.8837, 70.8788, 94.6658", \ + "49.3035, 50.472, 52.4459, 55.842, 62.0109, 73.977, 97.8054", \ + "52.039, 53.205, 55.1823, 58.5748, 64.7307, 76.7015, 100.506" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.84181, 7.35218, 10.3342, 16.3845, 28.9817, 54.9477, 107.712", \ + "5.84271, 7.34913, 10.3278, 16.3783, 28.9786, 54.9496, 107.711", \ + "5.84431, 7.35433, 10.3291, 16.39, 28.9809, 54.9488, 107.717", \ + "5.85175, 7.35647, 10.3367, 16.3915, 28.9799, 54.9481, 107.722", \ + "5.85464, 7.38167, 10.3413, 16.4666, 29.041, 54.977, 107.714", \ + "5.8447, 7.3519, 10.3285, 16.3896, 28.983, 55.4412, 107.906", \ + "5.8555, 7.3594, 10.3379, 16.3939, 28.9969, 54.9555, 107.756" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.59322, 1.57136, 1.55953, 1.56051, 1.57058, 1.58198, 1.59023", \ + "1.59315, 1.57131, 1.55923, 1.55982, 1.56955, 1.58029, 1.58924", \ + "1.59789, 1.5759, 1.56412, 1.56489, 1.57469, 1.58556, 1.59429", \ + "1.62301, 1.60107, 1.58884, 1.58936, 1.59928, 1.61075, 1.61921", \ + "1.68164, 1.66132, 1.65126, 1.65931, 1.66173, 1.66796, 1.68284", \ + "1.82749, 1.80569, 1.79051, 1.79574, 1.82778, 1.82966, 1.87076", \ + "2.1526, 2.13018, 2.11834, 2.11858, 2.12175, 2.16379, 2.26849" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.61123, 1.58786, 1.5775, 1.57948, 1.58707, 1.59412, 1.59931", \ + "1.60698, 1.58372, 1.57404, 1.57675, 1.5851, 1.59313, 1.59801", \ + "1.61583, 1.59219, 1.58213, 1.58456, 1.59233, 1.59986, 1.60514", \ + "1.63889, 1.61539, 1.60504, 1.60763, 1.61617, 1.62415, 1.62942", \ + "1.70405, 1.6813, 1.66947, 1.672, 1.67971, 1.68777, 1.69273", \ + "1.8536, 1.83095, 1.82026, 1.82177, 1.83019, 1.83894, 1.84478", \ + "2.17636, 2.15357, 2.14347, 2.14564, 2.15358, 2.16183, 2.16625" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.73991, 1.71806, 1.70622, 1.7072, 1.71719, 1.72867, 1.73697", \ + "1.73921, 1.71739, 1.70531, 1.70592, 1.71559, 1.72642, 1.73536", \ + "1.74349, 1.7215, 1.70971, 1.71048, 1.7202, 1.73117, 1.7399", \ + "1.76825, 1.74636, 1.73408, 1.73444, 1.74402, 1.75529, 1.76355", \ + "1.82641, 1.80553, 1.79293, 1.79372, 1.80144, 1.81205, 1.82252", \ + "1.97215, 1.95083, 1.94063, 1.93992, 1.94938, 1.95896, 1.96803", \ + "2.2979, 2.27606, 2.26316, 2.26281, 2.27262, 2.28451, 2.2932" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.7644, 1.74103, 1.73066, 1.73268, 1.74025, 1.74723, 1.75243", \ + "1.76009, 1.73683, 1.72714, 1.72988, 1.73821, 1.74622, 1.75107", \ + "1.76871, 1.74508, 1.73501, 1.73746, 1.74521, 1.7527, 1.75797", \ + "1.79159, 1.76819, 1.75805, 1.761, 1.76985, 1.77805, 1.78345", \ + "1.85684, 1.83571, 1.82344, 1.83219, 1.8419, 1.8477, 1.8472", \ + "2.00604, 1.98361, 1.97283, 1.97494, 1.98581, 2.044, 2.04205", \ + "2.32915, 2.30644, 2.29631, 2.29883, 2.30362, 2.32363, 2.3236" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.539027; + rise_capacitance : 0.537649; + rise_capacitance_range (0.43773, 0.537649); + fall_capacitance : 0.539027; + fall_capacitance_range (0.43355, 0.539027); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.312409, 0.310336, 0.317075, 0.339546, 0.400095, 0.544393, 0.858688" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47189, 0.470352, 0.479094, 0.509549, 0.580545, 0.739687, 1.07386" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.457532, 0.456307, 0.462666, 0.484767, 0.5456, 0.690007, 1.00391" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326542, 0.324583, 0.333415, 0.363764, 0.434386, 0.593777, 0.928449" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.662659, 0.660431, 0.670565, 0.706354, 0.789073, 0.969146, 1.34219" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.522971, 0.521141, 0.531756, 0.567192, 0.649899, 0.829781, 1.20292" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.751809, 0.749808, 0.756052, 0.784618, 0.856141, 1.01422, 1.34815" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580142, 0.577407, 0.584338, 0.613281, 0.68462, 0.842865, 1.17647" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.307514, 0.305529, 0.311576, 0.333364, 0.395612, 0.539922, 0.854139" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478491, 0.477211, 0.485041, 0.516034, 0.585213, 0.744853, 1.0806" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.460237, 0.458687, 0.464507, 0.485912, 0.548751, 0.692744, 1.00699" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325441, 0.323853, 0.331976, 0.36258, 0.432094, 0.591637, 0.927515" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.658686; + rise_capacitance : 0.658686; + rise_capacitance_range (0.599772, 0.658686); + fall_capacitance : 0.656512; + fall_capacitance_range (0.584917, 0.656512); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.551758, -0.556591, -0.569271, 0.600587, -0.729755, -1.16881, -2.8186", \ + "-0.881148, -0.885981, -0.898661, -0.936079, -1.05915, -1.4982, -3.14799", \ + "-1.50891, -1.51375, -1.52643, -1.56384, -1.68691, -2.12596, -3.77575", \ + "-1.39404, -2.64521, 1.33961, -1.40625, 1.17912, 0.740069, -3.78906", \ + "-2.42205, -2.42688, 1.55794, 1.52052, 1.39745, 0.958399, -4.68889", \ + "-1.98539, -1.99022, -2.0029, -2.04032, 1.83411, -2.60244, -4.25223", \ + "-1.11207, -1.1169, -1.12958, 0.0390574, 2.70744, -1.72912, -3.37891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.54703, 10.183, 11.4109, 10.9595, 13.551, 16.828, 17.0129", \ + "9.61277, 10.2487, 11.4767, 13.7568, 13.6167, 16.8937, 17.0787", \ + "5.74431, 10.3778, 11.6057, 13.8859, 13.7458, 17.0227, 17.2077", \ + "7.74701, 10.6261, 11.854, 11.4844, 13.9941, 17.2711, 18.5742", \ + "6.45031, 7.08626, 12.3117, 14.5919, 14.4518, 17.7287, 17.9137", \ + "7.20966, 7.84561, 9.07356, 15.3512, 15.2111, 18.4881, 18.6731", \ + "8.1044, 8.74034, 9.9683, 14.0657, 20.1034, 23.3803, 23.5653" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.44515, 3.55775, 3.00049, 6.50988, 3.59915, 9.7702", \ + "5.1178, 4.65085, 3.76344, 6.17219, 6.71557, 3.80485, 9.97589", \ + "9.51683, 5.05237, 4.16497, 6.57371, 7.1171, 4.20637, 10.3774", \ + "7.31445, 5.816, 4.9286, 4.45312, 3.88323, 4.97, 8.26171", \ + "11.65, 7.18555, 6.29815, 4.70939, 5.25278, 6.33955, 8.5131", \ + "13.7583, 9.2938, 8.40639, 6.81764, 7.36102, 8.4478, 10.6213", \ + "15.4514, 10.9869, 10.0995, 9.62891, 9.05413, 10.1409, 12.3145" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.27075, 2.1261, -0.0751623, -3.0625, -6.81987, -7.29583, -11.523", \ + "3.70286, 2.55821, 0.356948, -3.69338, -6.38776, -6.86372, -11.0908", \ + "4.56529, 3.42063, 1.21937, -2.83095, -5.52533, -6.0013, -10.2284", \ + "7.31445, 5.13831, 2.93705, 0, -3.80766, -4.28362, -7.39258", \ + "13.6871, 8.54492, 10.3412, 6.29083, 3.59646, -0.877008, -5.10413", \ + "16.3879, 15.2433, 17.0395, 12.9892, 6.29729, 1.82382, 1.5942", \ + "29.325, 28.1803, 25.979, 23.0469, 19.2343, 10.7634, 6.53625" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0588768, -0.0604574, -0.0608116, -0.0611364, -0.0615844, -0.062315, -0.0620824" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0672144, 0.0671684, 0.0670484, 0.0667508, 0.0673763, 0.0667896, 0.0663332" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104143, 0.103676, 0.102827, 0.101493, 0.101608, 0.100695, 0.0998304" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.094556, -0.0949627, -0.0951014, -0.0956087, -0.096773, -0.096277, -0.0958167" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.182181, 0.181853, 0.188886, 0.216676, 0.298476, 0.490453, 0.896801" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.33874, 0.338825, 0.34753, 0.383414, 0.476653, 0.682351, 1.1057" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378634, 0.377965, 0.384582, 0.412523, 0.495494, 0.686177, 1.09246" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.143141, 0.142587, 0.152575, 0.187491, 0.280156, 0.486381, 0.910851" \ + ); + } + } + } + ff (IQ,IQN) { + clocked_on : "CLK"; + next_state : "D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx1_ASAP7_75t_L) { + area : 0.2916; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1119.04; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1392.93; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1378.7; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1304.53; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 931.261; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1331.64; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1098.03; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1120.13; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1209.53; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "27.7828, 30.4752, 35.0884, 43.0026, 56.9274, 83.4334, 135.993", \ + "29.0853, 31.7745, 36.3906, 44.3048, 58.2306, 84.7345, 137.296", \ + "31.6522, 34.3427, 38.9535, 46.869, 60.7937, 87.2993, 139.859", \ + "35.7397, 38.441, 43.0444, 50.9601, 64.8834, 91.3888, 143.953", \ + "41.7685, 44.4518, 49.0566, 56.9663, 70.9254, 97.4178, 149.975", \ + "51.1056, 53.7881, 58.3832, 66.3046, 80.2287, 106.754, 159.525", \ + "65.6947, 68.376, 72.9847, 80.9272, 94.8847, 121.412, 174.04" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4056, 15.5073, 23.0468, 37.3647, 66.1639, 124.863, 244.245", \ + "11.4031, 15.5145, 23.0411, 37.3593, 66.1648, 124.865, 244.237", \ + "11.4064, 15.5118, 23.05, 37.368, 66.1662, 124.863, 244.245", \ + "11.4597, 15.6341, 23.1135, 37.4125, 66.1931, 124.873, 244.242", \ + "11.544, 15.7057, 23.3505, 37.5278, 66.2666, 124.915, 244.279", \ + "11.7559, 15.8303, 23.3314, 37.6653, 66.6045, 124.916, 244.48", \ + "12.2026, 16.2453, 23.6947, 37.9, 66.5178, 125.064, 245.069" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "28.4578, 31.2731, 36.0576, 43.7646, 56.7933, 80.853, 127.97", \ + "29.7698, 32.5833, 37.3641, 45.0782, 58.0913, 82.1624, 129.281", \ + "32.3138, 35.1294, 39.9102, 47.6204, 60.6491, 84.7086, 131.827", \ + "36.227, 39.0392, 43.8085, 51.5094, 64.5365, 88.5949, 135.711", \ + "42.0581, 44.8604, 49.6298, 57.3302, 70.337, 94.4113, 141.521", \ + "51.0695, 53.8557, 58.5979, 66.2785, 79.2772, 103.337, 150.463", \ + "64.95, 67.7017, 72.4006, 80.0365, 93.0071, 117.15, 164.167" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.1572, 14.933, 21.6323, 33.9782, 58.1573, 107.258, 207.847", \ + "11.1592, 14.9336, 21.6299, 33.9781, 58.1605, 107.257, 207.847", \ + "11.156, 14.9313, 21.6291, 33.9771, 58.158, 107.266, 207.847", \ + "11.1761, 14.9666, 21.6636, 33.9864, 58.1636, 107.262, 207.848", \ + "11.1821, 15.0042, 21.6785, 34.0187, 58.1665, 107.287, 207.85", \ + "11.2387, 14.9702, 21.6447, 33.9415, 58.1353, 107.693, 207.86", \ + "11.3989, 15.0604, 21.6458, 34.0166, 58.0765, 107.574, 208.318" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.841472, 0.830731, 0.824101, 0.821675, 0.821143, 0.821153, 0.821064", \ + "0.839597, 0.82833, 0.822108, 0.819708, 0.81921, 0.819186, 0.819089", \ + "0.846355, 0.83543, 0.828717, 0.826215, 0.825743, 0.825773, 0.825831", \ + "0.875902, 0.864391, 0.857121, 0.854302, 0.853078, 0.853124, 0.853035", \ + "0.95108, 0.939037, 0.93316, 0.928773, 0.927072, 0.925646, 0.924425", \ + "1.11756, 1.10605, 1.09515, 1.09984, 1.0984, 1.08854, 1.10194", \ + "1.47104, 1.45576, 1.44424, 1.43796, 1.43942, 1.44039, 1.46138" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.878793, 0.863906, 0.852314, 0.846861, 0.84472, 0.843671, 0.84317", \ + "0.877016, 0.861549, 0.849595, 0.844508, 0.84232, 0.841255, 0.840648", \ + "0.885702, 0.870735, 0.8588, 0.85372, 0.851446, 0.850443, 0.849859", \ + "0.916017, 0.90125, 0.889376, 0.883494, 0.881171, 0.880262, 0.879588", \ + "0.989677, 0.974724, 0.961695, 0.95599, 0.954468, 0.953668, 0.953306", \ + "1.15493, 1.13745, 1.12655, 1.11916, 1.11673, 1.11551, 1.11523", \ + "1.49917, 1.48172, 1.46862, 1.4614, 1.45862, 1.45886, 1.45767" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.680404, 0.669689, 0.663019, 0.660646, 0.660083, 0.660163, 0.659984", \ + "0.677994, 0.666696, 0.660477, 0.658083, 0.657567, 0.657551, 0.657501", \ + "0.685146, 0.674219, 0.667485, 0.664958, 0.664445, 0.664481, 0.664538", \ + "0.715961, 0.705908, 0.697471, 0.69448, 0.693961, 0.69405, 0.694001", \ + "0.789817, 0.777517, 0.769635, 0.766197, 0.765578, 0.765077, 0.765497", \ + "0.956455, 0.943896, 0.934506, 0.930103, 0.928332, 0.928173, 0.927959", \ + "1.30996, 1.29476, 1.28326, 1.27646, 1.27383, 1.27334, 1.27436" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.710029, 0.695125, 0.68354, 0.678092, 0.675946, 0.67487, 0.674346", \ + "0.707982, 0.692529, 0.680601, 0.675531, 0.673398, 0.672298, 0.671703", \ + "0.717088, 0.702161, 0.690245, 0.685195, 0.682949, 0.681966, 0.68138", \ + "0.747667, 0.732023, 0.719895, 0.714089, 0.711751, 0.710171, 0.709914", \ + "0.821677, 0.806747, 0.794877, 0.790075, 0.784797, 0.782589, 0.781321", \ + "0.98645, 0.968976, 0.958384, 0.952472, 0.957, 0.970489, 0.946608", \ + "1.33028, 1.31294, 1.30032, 1.29442, 1.29228, 1.31179, 1.30004" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.542739; + rise_capacitance : 0.54182; + rise_capacitance_range (0.436495, 0.54182); + fall_capacitance : 0.542739; + fall_capacitance_range (0.425975, 0.542739); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.9727, 21.9727, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.513961, 0.513686, 0.519924, 0.547487, 0.609971, 0.757764, 1.07376" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.690318, 0.689582, 0.695278, 0.722813, 0.784918, 0.933245, 1.2491" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311527, 0.31103, 0.316904, 0.340845, 0.402544, 0.547596, 0.8643" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467819, 0.465985, 0.474778, 0.501702, 0.573355, 0.730637, 1.06436" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.480561, 0.479732, 0.485232, 0.508853, 0.571134, 0.716153, 1.03268" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.298893, 0.29684, 0.306147, 0.333262, 0.404802, 0.561931, 0.895801" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319744, 0.318815, 0.326421, 0.349492, 0.411542, 0.557679, 0.873157" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.461759, 0.46002, 0.467879, 0.497381, 0.567599, 0.724443, 1.05923" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.48096, 0.479941, 0.487299, 0.510139, 0.572242, 0.718567, 1.03395" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.299859, 0.298422, 0.306773, 0.336024, 0.405738, 0.563325, 0.898026" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.559816, 0.558395, 0.565803, 0.59409, 0.664877, 0.82983, 1.18647" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.700805, 0.69964, 0.706359, 0.734622, 0.805649, 0.970831, 1.32741" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.658614; + rise_capacitance : 0.658614; + rise_capacitance_range (0.595767, 0.658614); + fall_capacitance : 0.656179; + fall_capacitance_range (0.581099, 0.656179); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.53076, 7.64006, 9.80212, 15.0293, 21.1918, 28.1599, 39.6179", \ + "6.54652, 7.65582, 9.81788, 13.9159, 21.2075, 28.1756, 39.6337", \ + "6.59106, 7.70035, 9.86242, 13.9604, 21.2521, 28.2202, 43.6757", \ + "7.90039, 7.84147, 14.001, 15.3125, 21.3932, 28.3613, 40.9375", \ + "7.22262, 12.3294, 14.4915, 18.5895, 21.8836, 32.8492, 44.3073", \ + "9.0363, 10.1456, 16.3052, 20.4032, 27.6948, 34.6629, 50.1185", \ + "15.9949, 17.1042, 19.2662, 24.5312, 30.6559, 41.6215, 57.077" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0473047, 0.669996, 1.88333, 1.35254, 4.26858, 10.3862, 18.4152", \ + "-0.142278, 0.480414, 1.69375, 3.99224, 4.079, 10.1966, 18.2256", \ + "-0.536765, 0.085927, 1.29926, -0.399742, 3.68451, 9.80212, 17.8312", \ + "-4.19678, -0.76433, 0.449007, 1.38451e-07, 2.83426, 8.95186, 14.1016", \ + "-7.33017, -6.70748, -5.49414, -3.19565, 0.888606, 3.00871, 11.0378", \ + "-12.202, -11.5793, -10.366, -8.0675, -7.98075, -1.86315, 6.1659", \ + "-21.8704, -21.2477, -20.0344, -20.5469, -17.6491, -15.529, -7.5" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.58664, 1.5561, -4.46268, -7.31445, -11.4779, -23.1884, -36.416", \ + "3.02848, 1.99793, -4.02084, -7.90411, -11.0361, -22.7466, -35.9742", \ + "3.87468, 2.84414, -3.17464, -7.05791, -10.1899, -21.9004, -35.128", \ + "2.4707, 0.389133, -1.63214, -4.38513, -12.6449, -20.3579, -36.4649", \ + "5.50406, 4.47352, 2.45225, -5.42853, -8.56048, -20.271, -33.4986", \ + "5.67783, 4.64729, 2.62601, -1.25726, -8.38671, -20.0972, -37.3224", \ + "6.02537, 4.99482, -1.02395, -3.78906, -12.0367, -23.7472, -40.9723" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.39404, 5.40667, 3.49243, 0.937499, -2.30115, -6.84021, -12.4197", \ + "6.89209, 5.90472, 3.99048, 4.40155, 2.19439, -6.34216, -11.9217", \ + "7.88069, 6.89331, 4.97907, 5.39015, -0.814513, -5.35357, -10.9331", \ + "10.8789, 8.84051, 6.92627, 4.45312, 1.13268, -3.40637, -11.8652", \ + "13.6023, 12.6149, 10.7007, 11.1118, 4.9071, 0.368045, -5.21149", \ + "20.6712, 19.6838, 17.7696, 14.1832, 11.976, 7.43696, 1.85743", \ + "32.8894, 31.902, 29.9878, 27.5195, 24.1942, 19.6552, 14.0756" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179828, 0.179233, 0.185938, 0.213399, 0.295293, 0.487716, 0.894426" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341945, 0.342064, 0.350694, 0.386772, 0.478723, 0.685326, 1.10961" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.375954, 0.375454, 0.381988, 0.409202, 0.491513, 0.684049, 1.09003" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.146668, 0.145973, 0.155644, 0.19104, 0.283298, 0.489503, 0.914685" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0566574, -0.0582022, -0.0584819, -0.0590959, -0.0593398, -0.0596161, -0.0598359" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0638372, 0.0641093, 0.0639453, 0.0636957, 0.0636755, 0.0637249, 0.0632965" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105282, 0.104618, 0.103669, 0.103681, 0.102615, 0.101456, 0.100768" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0962099, -0.0964785, -0.0969366, -0.0972882, -0.0972336, -0.0979713, -0.097502" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx2_ASAP7_75t_L) { + area : 0.30618; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1339.72; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1721.21; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1599.4; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1632.79; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1151.94; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1659.9; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1318.71; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1448.39; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1484.01; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.6835, 36.6134, 41.6207, 50.1349, 64.7749, 91.9248, 145.152", \ + "35.0776, 38.0003, 43.0036, 51.5154, 66.1553, 93.3066, 146.533", \ + "37.5426, 40.4773, 45.4797, 53.9929, 68.6281, 95.7819, 149.007", \ + "41.6566, 44.5834, 49.6029, 58.0971, 72.7173, 99.8759, 153.103", \ + "47.6475, 50.5633, 55.5699, 64.0715, 78.6917, 105.859, 159.083", \ + "56.9789, 59.8957, 64.8806, 73.3802, 88.0181, 115.168, 168.429", \ + "71.6635, 74.5832, 79.5705, 88.0764, 102.711, 129.884, 183.124" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.1646, 18.3182, 26.1262, 40.898, 70.2109, 129.829, 251.507", \ + "14.1683, 18.3108, 26.1232, 40.8978, 70.2114, 129.829, 251.507", \ + "14.1611, 18.3031, 26.1227, 40.8983, 70.211, 129.836, 251.507", \ + "14.2423, 18.3278, 26.1862, 40.9337, 70.2423, 129.856, 251.514", \ + "14.2149, 18.3677, 26.2007, 40.9456, 70.24, 129.862, 251.541", \ + "14.3596, 18.4535, 26.2663, 41.0172, 70.5997, 130.155, 251.577", \ + "14.6919, 18.7997, 26.5634, 41.2504, 70.4902, 130.593, 251.81" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.5362, 36.6545, 41.9287, 50.3593, 64.2597, 89.0941, 136.706", \ + "34.9173, 38.0415, 43.3127, 51.7463, 65.6388, 90.4951, 138.092", \ + "37.4165, 40.5356, 45.8102, 54.2405, 68.1271, 92.9753, 140.587", \ + "41.3212, 44.4493, 49.7153, 58.1422, 72.0278, 96.8753, 144.486", \ + "47.1902, 50.3065, 55.5861, 64.0114, 77.8718, 102.714, 150.33", \ + "56.276, 59.3898, 64.6422, 73.056, 86.9452, 111.771, 159.367", \ + "70.6067, 73.7048, 78.9461, 87.3292, 101.174, 126.004, 173.586" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.4118, 18.2151, 25.0059, 37.7175, 62.2924, 111.719, 213.074", \ + "14.4163, 18.213, 25.0107, 37.7197, 62.2865, 111.716, 213.074", \ + "14.4133, 18.2134, 25.0114, 37.7184, 62.2824, 111.712, 213.074", \ + "14.4049, 18.2517, 25.018, 37.7269, 62.298, 111.723, 213.078", \ + "14.4382, 18.2359, 25.1387, 37.7301, 62.299, 111.701, 213.099", \ + "14.4768, 18.3418, 25.0621, 37.7314, 62.461, 111.761, 213.081", \ + "14.7827, 18.5298, 25.2294, 37.9731, 62.3178, 111.724, 213.644" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09372, 1.04025, 0.999714, 0.976914, 0.96506, 0.958591, 0.955224", \ + "1.09267, 1.04017, 0.999152, 0.975678, 0.963858, 0.957636, 0.954079", \ + "1.09797, 1.04571, 1.0044, 0.980868, 0.969156, 0.962984, 0.959689", \ + "1.1278, 1.07495, 1.03241, 1.00751, 0.992891, 0.985583, 0.980566", \ + "1.20042, 1.14602, 1.10383, 1.07838, 1.06354, 1.05777, 1.05075", \ + "1.36871, 1.31452, 1.27135, 1.25423, 1.24011, 1.24666, 1.21906", \ + "1.7262, 1.66787, 1.62093, 1.59536, 1.58237, 1.59137, 1.57378" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.21155, 1.15082, 1.09065, 1.05426, 1.03657, 1.02659, 1.02072", \ + "1.21054, 1.15034, 1.08944, 1.05421, 1.03613, 1.02601, 1.02004", \ + "1.21848, 1.1579, 1.09754, 1.06122, 1.04342, 1.03337, 1.02746", \ + "1.24754, 1.18858, 1.12732, 1.09105, 1.07354, 1.06338, 1.05739", \ + "1.32118, 1.26043, 1.20077, 1.1642, 1.14651, 1.1368, 1.13042", \ + "1.487, 1.42728, 1.36491, 1.32777, 1.30763, 1.29744, 1.29198", \ + "1.84053, 1.77669, 1.71201, 1.67165, 1.65126, 1.64133, 1.63527" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.932413, 0.878991, 0.838409, 0.815622, 0.803779, 0.797384, 0.794039", \ + "0.931454, 0.879072, 0.838051, 0.81453, 0.802682, 0.796477, 0.79291", \ + "0.936737, 0.884457, 0.843116, 0.819542, 0.807772, 0.801598, 0.798293", \ + "0.967736, 0.915036, 0.876008, 0.851691, 0.839336, 0.832601, 0.828837", \ + "1.03963, 0.985532, 0.944579, 0.920013, 0.908069, 0.901166, 0.898319", \ + "1.20783, 1.15288, 1.10886, 1.08177, 1.06904, 1.06237, 1.05878", \ + "1.56505, 1.50684, 1.4591, 1.42892, 1.41253, 1.40461, 1.40079" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.04223, 0.981496, 0.921367, 0.884951, 0.867324, 0.857345, 0.851471", \ + "1.0418, 0.981601, 0.920728, 0.885513, 0.867486, 0.857352, 0.851327", \ + "1.04985, 0.989317, 0.928999, 0.892705, 0.874978, 0.864937, 0.858986", \ + "1.07967, 1.0183, 0.958106, 0.921588, 0.901789, 0.891463, 0.885345", \ + "1.15321, 1.09289, 1.03294, 0.993371, 0.971047, 0.955423, 0.952812", \ + "1.31925, 1.25727, 1.19742, 1.16633, 1.14335, 1.13191, 1.11922", \ + "1.67181, 1.60834, 1.544, 1.51472, 1.48546, 1.48729, 1.50577" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.542745; + rise_capacitance : 0.541935; + rise_capacitance_range (0.436413, 0.541935); + fall_capacitance : 0.542745; + fall_capacitance_range (0.425958, 0.542745); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.9399, 25.9399, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514441, 0.513196, 0.519947, 0.54737, 0.609796, 0.757576, 1.07365" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.690688, 0.689322, 0.695362, 0.72272, 0.784739, 0.932974, 1.24903" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.312203, 0.311171, 0.317105, 0.341216, 0.402539, 0.547442, 0.863854" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.468793, 0.466121, 0.474965, 0.501984, 0.573256, 0.730606, 1.06418" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481113, 0.479962, 0.485459, 0.509555, 0.571153, 0.715966, 1.03231" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.300233, 0.296953, 0.306232, 0.333537, 0.404807, 0.561889, 0.8956" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319939, 0.318671, 0.326602, 0.349613, 0.41136, 0.557568, 0.873516" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462469, 0.460202, 0.467997, 0.497446, 0.567602, 0.724408, 1.05912" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481166, 0.480042, 0.487496, 0.509988, 0.572367, 0.718603, 1.03443" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.301141, 0.298693, 0.306951, 0.336079, 0.405708, 0.563188, 0.897905" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.559974, 0.558794, 0.565753, 0.593757, 0.664138, 0.829017, 1.18493" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.701025, 0.6999, 0.706334, 0.734301, 0.804932, 0.970062, 1.32577" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.658693; + rise_capacitance : 0.658693; + rise_capacitance_range (0.596909, 0.658693); + fall_capacitance : 0.655971; + fall_capacitance_range (0.580423, 0.655971); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.36127, 8.96636, 12.0739, 15.0879, 19.8487, 29.2106, 41.635", \ + "7.68683, 9.29192, 12.3994, 14.2062, 20.1743, 29.5362, 41.9605", \ + "8.32409, 9.92918, 13.0367, 14.8434, 20.8115, 30.1734, 42.5978", \ + "6.77246, 11.1482, 14.2557, 18.0625, 22.0306, 31.3925, 40.9375", \ + "7.76198, 9.36707, 12.4746, 18.2788, 24.2469, 33.6088, 46.0332", \ + "11.3074, 12.9125, 16.02, 21.8242, 27.7923, 37.1542, 49.5786", \ + "14.8494, 16.4545, 19.5619, 26.5723, 35.3318, 44.6937, 57.118" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.81732, 2.20631, 2.97388, 1.71631, 7.28844, 12.2655, 19.5603", \ + "-2.54999, 1.83649, 2.60406, 4.09766, 6.91863, 11.8957, 19.1904", \ + "-3.28828, 1.0982, 1.86577, 3.35936, 6.18033, 11.1574, 18.4521", \ + "-3.47412, -0.373041, 0.394532, -0.78125, 4.7091, 5.68868, 14.1016", \ + "-7.68061, -7.29163, -2.52655, -1.03296, 1.78801, 2.76759, 14.0598", \ + "-13.4372, -13.0482, -12.2807, -10.7871, -7.96609, -2.98901, 4.30571", \ + "-24.6082, -20.2217, -19.4541, -20.7324, -19.137, -14.16, -6.86523" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.80297, -0.577722, -3.2654, -7.31445, -13.3303, -22.5794, -34.2022", \ + "0.894662, -0.486029, -3.17371, -8.25426, -13.2386, -22.4878, -34.1105", \ + "1.07446, -0.306236, -2.99392, -4.07696, -13.0588, -22.308, -33.9307", \ + "2.4707, 4.03649, 1.34881, -6.55223, -12.7136, -21.9627, -36.4649", \ + "6.05016, 4.66947, 1.98179, -3.09875, -12.0806, -21.3298, -36.95", \ + "7.08629, 5.7056, 3.01792, -2.06262, -11.0445, -20.2936, -35.9139", \ + "4.2417, 2.861, 0.173325, -3.78906, -9.89156, -23.1382, -42.756" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.39404, 5.40667, 3.49243, 0.937499, 1.69635, -6.84021, -12.4197", \ + "6.89209, 5.90472, 3.99048, 4.40155, 2.19439, -6.34216, -11.9217", \ + "7.88069, 6.89331, 4.97907, 5.39015, 3.18299, -5.35357, -10.9331", \ + "10.8789, 8.84051, 6.92627, 4.45312, 1.13268, -3.40637, -11.8652", \ + "13.6023, 12.6149, 10.7007, 11.1118, 4.9071, 0.368045, -5.21149", \ + "20.6712, 19.6838, 17.7696, 14.1832, 11.976, 7.43696, 1.85743", \ + "32.8894, 31.902, 29.9878, 27.5195, 24.1942, 19.6552, 14.0756" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179917, 0.179307, 0.185588, 0.213529, 0.294995, 0.487768, 0.89445" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341852, 0.341816, 0.350875, 0.386193, 0.47875, 0.685482, 1.10873" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376315, 0.375567, 0.380804, 0.40936, 0.491956, 0.68412, 1.09007" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.146773, 0.146112, 0.155784, 0.191189, 0.283547, 0.489635, 0.914092" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0566297, -0.0581755, -0.0584552, -0.0590704, -0.0591297, -0.0595828, -0.0598123" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0637227, 0.064234, 0.0639366, 0.0635365, 0.0643929, 0.0638109, 0.0633889" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105324, 0.10466, 0.103712, 0.103722, 0.101441, 0.101484, 0.100807" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0958072, -0.0964293, -0.0968003, -0.0970341, -0.0983979, -0.0978976, -0.0974266" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx3_ASAP7_75t_L) { + area : 0.32076; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1560.4; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2049.5; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1820.1; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1961.06; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1372.62; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1988.17; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1539.39; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1776.66; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1758.49; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.7066, 40.9518, 44.7584, 51.3726, 62.4558, 81.8138, 118.096", \ + "40.0402, 42.2912, 46.0997, 52.7113, 63.7907, 83.1539, 119.433", \ + "42.5474, 44.7986, 48.6049, 55.2179, 66.2946, 85.6736, 121.941", \ + "46.6393, 48.8872, 52.6985, 59.3038, 70.381, 89.7498, 126.021", \ + "52.5914, 54.839, 58.6426, 65.2406, 76.3156, 95.6895, 131.961", \ + "61.849, 64.0838, 67.8877, 74.4783, 85.5543, 104.915, 141.193", \ + "76.5028, 78.8082, 82.5765, 89.1707, 100.269, 119.629, 156.169" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.4368, 19.1148, 24.4513, 34.6742, 54.4612, 93.9568, 174.441", \ + "16.4324, 19.1197, 24.43, 34.6688, 54.4591, 93.9566, 174.44", \ + "16.4281, 19.1157, 24.447, 34.6654, 54.45, 93.9786, 174.442", \ + "16.4145, 19.1051, 24.4187, 34.6703, 54.4673, 93.9606, 174.436", \ + "16.4438, 19.1194, 24.45, 34.7303, 54.4942, 93.999, 174.45", \ + "16.4801, 19.1896, 24.4758, 34.7293, 54.7022, 94.0881, 174.468", \ + "16.7742, 19.4557, 24.7471, 34.9527, 54.7315, 94.6864, 176.011" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.0778, 40.457, 44.5481, 51.383, 62.2935, 80.6286, 113.663", \ + "39.417, 41.7891, 45.8877, 52.72, 63.632, 81.9678, 115.004", \ + "41.947, 44.3278, 48.4121, 55.252, 66.1624, 84.4988, 117.533", \ + "45.8316, 48.2125, 52.297, 59.1336, 70.043, 88.3777, 121.412", \ + "51.6593, 54.0839, 58.1826, 65.0057, 75.8849, 94.1998, 127.235", \ + "60.7234, 63.1117, 67.19, 74.0252, 84.9051, 103.23, 136.255", \ + "75.1297, 77.5072, 81.5932, 88.4193, 99.2892, 117.575, 150.591" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.0861, 19.6594, 24.3791, 33.1568, 50.0203, 82.9358, 149.516", \ + "17.0861, 19.6624, 24.3859, 33.1567, 50.0193, 82.9351, 149.516", \ + "17.0862, 19.659, 24.3837, 33.1577, 50.02, 82.936, 149.516", \ + "17.0647, 19.642, 24.377, 33.1626, 50.0276, 82.9442, 149.521", \ + "17.106, 19.6813, 24.4947, 33.2094, 50.0344, 82.9322, 149.525", \ + "17.0974, 19.6813, 24.4089, 33.2008, 50.0255, 82.9348, 149.54", \ + "17.4088, 19.9681, 24.6648, 33.3961, 50.1261, 83.0433, 149.555" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55689, 1.46139, 1.34361, 1.25233, 1.19884, 1.16882, 1.15236", \ + "1.55474, 1.45993, 1.34257, 1.25088, 1.19739, 1.16751, 1.15044", \ + "1.56051, 1.46537, 1.34765, 1.25642, 1.20251, 1.17291, 1.15626", \ + "1.5886, 1.49356, 1.37601, 1.28342, 1.22877, 1.19828, 1.18105", \ + "1.66033, 1.56525, 1.44705, 1.35611, 1.29656, 1.26493, 1.24909", \ + "1.82702, 1.73, 1.61436, 1.52304, 1.48656, 1.43567, 1.41027", \ + "2.18471, 2.08611, 1.96703, 1.86606, 1.81715, 1.83801, 1.88243" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.7222, 1.62783, 1.49436, 1.36367, 1.28439, 1.24292, 1.21892", \ + "1.72052, 1.62485, 1.49266, 1.36167, 1.28252, 1.24114, 1.2172", \ + "1.72882, 1.63463, 1.49957, 1.36993, 1.29079, 1.2494, 1.22542", \ + "1.7573, 1.66386, 1.52932, 1.39957, 1.31999, 1.27855, 1.25458", \ + "1.83072, 1.74113, 1.60588, 1.47511, 1.39536, 1.35271, 1.32824", \ + "1.99609, 1.90246, 1.76641, 1.63573, 1.55417, 1.51123, 1.48787", \ + "2.3521, 2.25353, 2.11614, 1.98154, 1.897, 1.8535, 1.82848" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.39548, 1.29998, 1.18222, 1.09098, 1.03746, 1.0075, 0.990965", \ + "1.39315, 1.29831, 1.18091, 1.08918, 1.03574, 1.00587, 0.988798", \ + "1.39919, 1.30402, 1.18628, 1.09499, 1.04104, 1.0114, 0.99473", \ + "1.42823, 1.33375, 1.2166, 1.12479, 1.07076, 1.04065, 1.02361", \ + "1.49983, 1.40495, 1.28737, 1.19455, 1.14014, 1.10958, 1.09315", \ + "1.66594, 1.56843, 1.45252, 1.3562, 1.29992, 1.26822, 1.25127", \ + "2.02364, 1.92507, 1.80447, 1.70514, 1.64564, 1.61099, 1.59352" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55333, 1.45897, 1.32551, 1.19485, 1.11554, 1.07421, 1.05013", \ + "1.55148, 1.45583, 1.32366, 1.19272, 1.11359, 1.07233, 1.04836", \ + "1.56008, 1.46594, 1.33093, 1.20134, 1.12221, 1.08096, 1.05695", \ + "1.58897, 1.49457, 1.36023, 1.22848, 1.1484, 1.10679, 1.08264", \ + "1.66197, 1.56751, 1.43678, 1.29913, 1.21496, 1.17333, 1.14789", \ + "1.82764, 1.73324, 1.5982, 1.46454, 1.37897, 1.33801, 1.30816", \ + "2.18354, 2.0848, 1.94793, 1.81629, 1.72984, 1.6932, 1.67153" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.543048; + rise_capacitance : 0.542085; + rise_capacitance_range (0.43673, 0.542085); + fall_capacitance : 0.543048; + fall_capacitance_range (0.425954, 0.543048); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.959, 32.959, 32.959, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.4004, 35.4004, 35.4004, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514634, 0.51304, 0.51981, 0.547097, 0.609418, 0.757271, 1.07331" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.69031, 0.689253, 0.695324, 0.722529, 0.78485, 0.932749, 1.24878" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.312352, 0.311166, 0.317183, 0.341558, 0.40253, 0.547252, 0.863641" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.469008, 0.466391, 0.475241, 0.503647, 0.573411, 0.731382, 1.06427" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481316, 0.480022, 0.485629, 0.509839, 0.571234, 0.715986, 1.03219" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.300169, 0.297131, 0.306418, 0.334608, 0.404879, 0.562342, 0.895594" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319987, 0.318777, 0.326721, 0.349853, 0.411207, 0.557443, 0.873345" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462784, 0.460537, 0.468274, 0.497691, 0.56733, 0.724531, 1.05921" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481278, 0.480227, 0.487689, 0.510767, 0.572244, 0.718415, 1.03433" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.301217, 0.298949, 0.30716, 0.336246, 0.405423, 0.563252, 0.89792" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.559369, 0.558685, 0.565597, 0.593472, 0.66359, 0.82824, 1.1843" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.701096, 0.699887, 0.706305, 0.734138, 0.804515, 0.969374, 1.32527" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.658591; + rise_capacitance : 0.658591; + rise_capacitance_range (0.596642, 0.658591); + fall_capacitance : 0.655879; + fall_capacitance_range (0.580105, 0.655879); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.51367, 7.63114, 9.80835, 15.2173, 21.2554, 28.2108, 43.3347", \ + "6.56948, 7.68694, 9.86415, 13.9877, 21.3112, 28.2666, 39.393", \ + "6.69416, 7.81163, 9.98884, 14.1124, 21.4359, 28.3912, 43.5152", \ + "8.30078, 8.11332, 14.288, 15.7812, 21.7376, 28.6929, 40.9375", \ + "7.80849, 12.9235, 15.1007, 19.2242, 22.5502, 33.5031, 44.6295", \ + "10.2708, 11.3883, 17.563, 21.6865, 29.0101, 35.9654, 51.0893", \ + "18.5437, 19.6612, 21.8384, 27.207, 33.2854, 44.2383, 59.3622" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.69434, -1.06939, 0.148303, 3.79883, 6.55251, 12.6863, 20.7053", \ + "-2.24345, -1.6185, -0.400814, 1.90572, 6.00339, 12.1372, 20.1562", \ + "-3.32462, 1.29783, -1.48198, 0.824548, 4.92222, 11.056, 19.075", \ + "-4.05518, -0.796251, 0.421438, 0.15625, 2.82815, 8.96196, 14.1016", \ + "-5.33632, -4.71137, -3.49368, -1.18715, -1.08697, 5.04684, 13.0658", \ + "-12.0744, -11.4495, -10.2318, -7.92523, -7.82506, -1.69125, 6.3277", \ + "-21.1819, -20.557, -19.3393, -19.7559, -16.9326, -14.7963, -6.77734" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.753418, -0.619436, -3.2922, -7.31445, -13.2888, -22.5036, -34.2518", \ + "0.852948, -0.519907, -3.19267, -8.24642, -13.1893, -22.4041, -34.1522", \ + "1.04766, -0.325197, -2.99796, -4.05421, -12.9946, -22.2094, -33.9575", \ + "2.4707, 4.04432, 1.37156, -6.45312, -12.6226, -21.8373, -36.4649", \ + "6.09162, 4.71877, 2.04601, -3.00774, -11.9481, -21.1629, -36.9086", \ + "7.16214, 5.78928, 3.11652, -1.93723, -10.8776, -20.0924, -35.8381", \ + "4.19214, 2.81929, 0.146527, -3.78906, -9.8501, -23.0624, -42.8055" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.39404, 5.40667, 3.49243, 0.937499, 1.69635, -6.84021, -12.4197", \ + "6.89209, 5.90472, 3.99048, 4.40155, 2.19439, -6.34216, -11.9217", \ + "7.88069, 6.89331, 4.97907, 5.39015, 3.18299, -5.35357, -10.9331", \ + "10.8789, 8.84051, 6.92627, 4.45312, 1.13268, -3.40637, -11.8652", \ + "13.6023, 12.6149, 10.7007, 11.1118, 4.9071, 0.368045, -5.21149", \ + "20.6712, 19.6838, 17.7696, 18.1807, 11.976, 7.43696, 1.85743", \ + "32.8894, 31.902, 29.9878, 27.5195, 24.1942, 19.6552, 14.0756" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179951, 0.179247, 0.185843, 0.213414, 0.295436, 0.487731, 0.894457" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342385, 0.342126, 0.350856, 0.386209, 0.478985, 0.68541, 1.10855" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37615, 0.375415, 0.381927, 0.409204, 0.490843, 0.684064, 1.09005" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.146637, 0.146108, 0.155714, 0.190608, 0.283431, 0.489592, 0.913994" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.056571, -0.0581174, -0.0583994, -0.0590033, -0.0592537, -0.0594599, -0.0597545" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0642002, 0.0642776, 0.063959, 0.0635775, 0.0644379, 0.0638649, 0.0634432" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105382, 0.10472, 0.103781, 0.10375, 0.102692, 0.101629, 0.100866" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0962038, -0.0963654, -0.0967248, -0.0969627, -0.0983441, -0.0978426, -0.0973708" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQx4_ASAP7_75t_L) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2652.77; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2603.9; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2912.44; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2515.48; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2464.98; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2542.59; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2631.76; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2331.08; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2581.88; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "41.0426, 43.0953, 46.742, 53.5788, 67.0689, 93.9379, 147.591", \ + "42.3994, 44.4524, 48.09, 54.9475, 68.4275, 95.2958, 148.962", \ + "44.9133, 46.9696, 50.6074, 57.4648, 70.9531, 97.8131, 151.48", \ + "48.8402, 50.8896, 54.5432, 61.3904, 74.8712, 101.739, 155.405", \ + "54.71, 56.7656, 60.3972, 67.2541, 80.7688, 107.609, 161.268", \ + "63.7868, 65.8359, 69.478, 76.3323, 89.8233, 116.684, 170.363", \ + "78.0822, 80.1425, 83.7781, 90.6416, 104.12, 130.989, 184.935" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "8.13877, 11.6318, 18.8769, 34.0009, 65.1029, 128.012, 254.325", \ + "8.14024, 11.6319, 18.8695, 34.0084, 65.1031, 128.012, 254.329", \ + "8.13851, 11.6322, 18.8696, 34.0084, 65.1033, 128.012, 254.329", \ + "8.13694, 11.6274, 18.8771, 34.0088, 65.1029, 128.012, 254.329", \ + "8.14777, 11.6817, 18.9019, 34.0094, 65.122, 128.018, 254.33", \ + "8.1428, 11.6331, 18.9366, 34.0149, 65.3736, 128.146, 254.344", \ + "8.1808, 11.6723, 18.8978, 34.1032, 65.167, 128.72, 254.888" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "40.1154, 42.0961, 45.4914, 51.6612, 63.6274, 87.4225, 134.952", \ + "41.512, 43.4911, 46.8859, 53.0561, 65.0225, 88.8176, 136.347", \ + "43.9735, 45.9522, 49.3455, 55.5091, 67.4779, 91.2724, 138.8", \ + "48.1276, 50.1078, 53.5027, 59.6629, 71.6399, 95.4349, 142.964", \ + "54.0907, 56.0623, 59.463, 65.6311, 77.5984, 101.39, 148.921", \ + "63.4233, 65.4022, 68.8025, 74.9663, 86.9475, 110.731, 158.284", \ + "78.1086, 80.1233, 83.5244, 89.6894, 101.665, 125.462, 172.99" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "7.37502, 10.3524, 16.4056, 28.9904, 54.9577, 107.714, 213.842", \ + "7.37814, 10.3523, 16.4051, 28.9903, 54.9611, 107.714, 213.842", \ + "7.37646, 10.3562, 16.4107, 28.9984, 54.9581, 107.715, 213.842", \ + "7.38309, 10.3555, 16.4078, 28.986, 54.9559, 107.714, 213.829", \ + "7.38271, 10.3632, 16.4257, 29.0068, 54.957, 107.713, 213.838", \ + "7.3994, 10.367, 16.4158, 29.0016, 55.0929, 107.688, 213.864", \ + "7.444, 10.4145, 16.4572, 29.0315, 54.9789, 107.893, 214.614" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.7467, 1.73514, 1.73612, 1.7459, 1.75737, 1.76551, 1.77046", \ + "1.74486, 1.73326, 1.73424, 1.74465, 1.75613, 1.76426, 1.76931", \ + "1.75259, 1.7412, 1.74226, 1.75264, 1.76386, 1.77258, 1.77737", \ + "1.78483, 1.77334, 1.77448, 1.78458, 1.79579, 1.80446, 1.80931", \ + "1.86145, 1.85232, 1.85107, 1.8562, 1.87396, 1.87667, 1.88108", \ + "2.02455, 2.01273, 2.02476, 2.02532, 2.05941, 2.07415, 2.05166", \ + "2.37485, 2.36193, 2.36243, 2.39664, 2.39835, 2.46295, 2.49999" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.72593, 1.7159, 1.71851, 1.72685, 1.73488, 1.73995, 1.74323", \ + "1.72506, 1.71486, 1.71742, 1.72581, 1.73383, 1.73912, 1.742", \ + "1.73325, 1.72277, 1.72452, 1.73234, 1.73977, 1.74477, 1.74767", \ + "1.76393, 1.75358, 1.75574, 1.76364, 1.77156, 1.77682, 1.77993", \ + "1.83515, 1.82333, 1.82541, 1.83373, 1.84156, 1.8472, 1.85227", \ + "2.00098, 1.99083, 1.9933, 2.00159, 2.00872, 2.01425, 2.01733", \ + "2.35665, 2.34608, 2.34763, 2.35521, 2.36336, 2.3697, 2.37218" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.57776, 1.56619, 1.56718, 1.57688, 1.5884, 1.59652, 1.60148", \ + "1.57562, 1.56401, 1.56501, 1.57532, 1.5869, 1.59506, 1.60014", \ + "1.58363, 1.57224, 1.57331, 1.58361, 1.59494, 1.60366, 1.60848", \ + "1.61588, 1.60431, 1.60533, 1.61518, 1.62632, 1.63486, 1.63971", \ + "1.69109, 1.67888, 1.67894, 1.68819, 1.7017, 1.70954, 1.71314", \ + "1.85543, 1.84359, 1.84461, 1.85284, 1.86528, 1.87239, 1.87726", \ + "2.20595, 2.19285, 2.19247, 2.20393, 2.2136, 2.22276, 2.22826" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.56425, 1.55422, 1.55686, 1.56519, 1.57316, 1.57827, 1.58147", \ + "1.56355, 1.55334, 1.55593, 1.5643, 1.57227, 1.57754, 1.58045", \ + "1.57175, 1.56127, 1.56304, 1.57083, 1.57826, 1.58323, 1.58611", \ + "1.60246, 1.59226, 1.59471, 1.60287, 1.61101, 1.61641, 1.61958", \ + "1.67458, 1.66174, 1.66483, 1.6747, 1.67907, 1.68341, 1.68602", \ + "1.83954, 1.8318, 1.833, 1.85689, 1.86158, 1.85563, 1.86619", \ + "2.19624, 2.18476, 2.18646, 2.19755, 2.21426, 2.29572, 2.32709" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.543603; + rise_capacitance : 0.542794; + rise_capacitance_range (0.436908, 0.542794); + fall_capacitance : 0.543603; + fall_capacitance_range (0.426509, 0.543603); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.9399, 25.9399, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.312448, 0.311344, 0.317306, 0.341523, 0.402755, 0.547597, 0.864028" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.469621, 0.466574, 0.475435, 0.502378, 0.573721, 0.730943, 1.06461" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481617, 0.480448, 0.485914, 0.510113, 0.571624, 0.716521, 1.03274" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.300615, 0.297153, 0.306446, 0.333665, 0.404941, 0.562124, 0.895776" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514894, 0.513362, 0.520197, 0.547551, 0.609873, 0.757749, 1.07375" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.691059, 0.689736, 0.695865, 0.723147, 0.785589, 0.933493, 1.24937" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.56015, 0.558805, 0.565875, 0.5939, 0.664276, 0.829143, 1.18503" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.701475, 0.700315, 0.70673, 0.734717, 0.80535, 0.970462, 1.32615" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320169, 0.318868, 0.326907, 0.349807, 0.41152, 0.557725, 0.873626" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462785, 0.46053, 0.468287, 0.49777, 0.566914, 0.724658, 1.05938" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481658, 0.480515, 0.488072, 0.510943, 0.572804, 0.718898, 1.03481" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.300787, 0.298746, 0.306969, 0.336128, 0.404964, 0.563237, 0.897893" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.658696; + rise_capacitance : 0.658696; + rise_capacitance_range (0.596398, 0.658696); + fall_capacitance : 0.656286; + fall_capacitance_range (0.581321, 0.656286); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90112, 7.8885, 9.81644, 14.7119, 20.0736, 30.2551, 42.634", \ + "7.07063, 8.058, 9.98594, 13.6546, 20.2431, 30.4246, 42.8035", \ + "7.40911, 8.39648, 10.3244, 13.9931, 20.5816, 30.7631, 43.142", \ + "10.084, 9.07136, 10.9993, 15.9766, 21.2565, 31.438, 40.9375", \ + "9.42536, 10.4127, 12.3407, 16.0093, 22.5978, 32.7794, 45.1582", \ + "12.0746, 13.062, 14.99, 18.6586, 25.2471, 35.4286, 47.8075", \ + "13.2418, 18.2266, 20.1546, 25.0391, 34.4092, 44.5908, 56.9696" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.0166, -1.39594, -0.187415, 3.36426, 6.14505, 12.1385, 19.7238", \ + "-2.4101, -1.78943, -0.580913, 1.70489, 5.75155, 11.7451, 19.3303", \ + "-3.19544, -2.57478, -1.36626, 0.919547, 4.9662, 10.9597, 18.545", \ + "-3.47412, -4.13886, -2.93034, 0.703125, 3.40213, 9.39563, 14.1016", \ + "-7.86124, -7.24057, -6.03205, -3.74625, 0.30041, 6.29391, 13.8792", \ + "-13.9589, -13.3382, -12.1297, -9.84392, -5.79726, -3.80126, 3.78402", \ + "-21.7337, -21.113, -19.9045, -20.3809, -17.5696, -15.5736, -7.98828" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.91449, 0.663967, -1.77598, -9.37744, -14.7047, -23.3828, -37.0882", \ + "2.46294, 1.21241, -1.22753, -5.86299, -14.1562, -22.8343, -36.5398", \ + "3.51176, 2.26124, -4.17621, -4.81417, -13.1074, -21.7855, -35.4909", \ + "2.4707, 0.169153, -2.27079, -4.90625, -11.202, -19.8801, -36.4649", \ + "5.38328, 4.13275, 1.69281, -2.94265, -11.2359, -19.914, -37.6169", \ + "5.31548, 4.06495, 1.62501, -3.01045, -11.3037, -19.9818, -37.6847", \ + "5.17988, 3.92935, 1.48941, -6.02538, -11.4393, -24.1149, -41.8178" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.39404, 5.40667, 3.49243, 0.937499, -2.30115, -6.84021, -12.4197", \ + "6.89209, 5.90472, 3.99048, 4.40155, 2.19439, -6.34216, -11.9217", \ + "7.88069, 6.89331, 4.97907, 5.39015, 3.18299, -5.35357, -10.9331", \ + "10.8789, 8.84051, 6.92627, 4.45312, 1.13268, -3.40637, -11.8652", \ + "13.6023, 12.6149, 10.7007, 11.1118, 4.9071, 0.368045, -5.21149", \ + "20.6712, 19.6838, 17.7696, 14.1832, 11.976, 7.43696, 1.85743", \ + "32.8894, 31.902, 29.9878, 27.5195, 24.1942, 19.6552, 14.0756" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.180355, 0.179656, 0.186256, 0.213857, 0.295836, 0.488141, 0.89485" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342466, 0.341849, 0.351043, 0.38649, 0.478886, 0.685677, 1.10996" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376597, 0.375886, 0.382372, 0.409689, 0.49135, 0.684498, 1.09047" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.146484, 0.146254, 0.155967, 0.190984, 0.284144, 0.489829, 0.915012" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0563009, -0.0578466, -0.0581234, -0.0587354, -0.0588044, -0.0591178, -0.0594735" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0642687, 0.0645199, 0.0642858, 0.0640898, 0.0639487, 0.0641623, 0.0637349" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105675, 0.105017, 0.104073, 0.104079, 0.101901, 0.10184, 0.101172" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0957895, -0.0960451, -0.0964775, -0.0968595, -0.0967082, -0.0975778, -0.0971071" \ + ); + } + } + } + ff (IQ,IQN) { + clocked_on : "!CLK"; + next_state : "D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx1_ASAP7_75t_L) { + area : 0.2187; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1031.33; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1017.31; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 925.49; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1096.56; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1092.29; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 885.023; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1008; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "21.1614, 23.2981, 27.0988, 34.0405, 47.3581, 73.7725, 126.523", \ + "22.3511, 24.4872, 28.2888, 35.2281, 48.5477, 74.9598, 127.712", \ + "23.9037, 26.0392, 29.8406, 36.7801, 50.0973, 76.5092, 129.257", \ + "25.9511, 28.082, 31.883, 38.8236, 52.1375, 78.5463, 131.299", \ + "28.4362, 30.5682, 34.3672, 41.3168, 54.6827, 81.0632, 133.774", \ + "31.0428, 33.1711, 36.963, 43.8923, 57.1961, 83.6099, 136.463", \ + "32.686, 34.8008, 38.5938, 45.5238, 58.8681, 85.2399, 138.087" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.79414, 11.5368, 18.8099, 33.4824, 63.3579, 123.731, 245.205", \ + "7.79154, 11.5361, 18.8108, 33.4875, 63.3577, 123.732, 245.205", \ + "7.78371, 11.5305, 18.8064, 33.4819, 63.3573, 123.727, 245.203", \ + "7.81739, 11.5513, 18.7957, 33.484, 63.3557, 123.744, 245.209", \ + "7.76026, 11.5284, 18.7893, 33.788, 63.4404, 123.764, 245.206", \ + "7.7172, 11.4809, 18.7629, 33.5017, 63.3555, 124.925, 245.291", \ + "7.6747, 11.4316, 18.7229, 33.4319, 63.5063, 124.325, 246.41" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "19.2917, 21.4734, 25.1301, 31.5476, 43.4978, 66.9883, 113.878", \ + "20.405, 22.5842, 26.2424, 32.6594, 44.6112, 68.1023, 114.985", \ + "22.0696, 24.2459, 27.9059, 34.327, 46.2791, 69.7709, 116.661", \ + "24.1533, 26.3402, 30.0076, 36.4381, 48.3961, 71.8967, 118.791", \ + "26.8017, 28.997, 32.689, 39.1409, 51.1122, 74.6251, 121.512", \ + "29.6897, 31.918, 35.6586, 42.146, 54.139, 77.6484, 124.538", \ + "31.6237, 33.9307, 37.7467, 44.3197, 56.3913, 79.8808, 126.8" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.5992, 10.738, 16.7849, 28.8078, 53.3304, 103.254, 204.112", \ + "7.60649, 10.7381, 16.7879, 28.8078, 53.3308, 103.252, 204.114", \ + "7.65929, 10.788, 16.8235, 28.8253, 53.3388, 103.263, 204.113", \ + "7.75423, 10.8792, 16.8944, 28.8873, 53.383, 103.276, 204.122", \ + "7.97139, 11.0657, 17.0653, 29.0032, 53.6124, 103.329, 204.137", \ + "8.4066, 11.5057, 17.4152, 29.2575, 53.6898, 103.694, 204.176", \ + "9.2738, 12.3052, 18.1273, 29.9595, 54.0074, 103.843, 205.394" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "13.0805, 15.2373, 19.0634, 26.0004, 39.3102, 65.7145, 118.459", \ + "13.6094, 15.7536, 19.5646, 26.4962, 39.8027, 66.2071, 118.937", \ + "14.47, 16.6406, 20.4889, 27.4374, 40.747, 67.1471, 119.893", \ + "15.6199, 17.8513, 21.7354, 28.7155, 42.0934, 68.5213, 121.256", \ + "17.1638, 19.462, 23.4316, 30.4716, 43.8797, 70.4827, 123.182", \ + "18.6062, 21.0546, 25.2719, 32.6, 46.1541, 72.7053, 125.573", \ + "18.7828, 21.5173, 26.1115, 33.8529, 47.9358, 75.0976, 128.217" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.83278, 11.5576, 18.8116, 33.4858, 63.3484, 123.722, 245.184", \ + "7.87592, 11.5929, 18.8433, 33.5, 63.3568, 123.732, 245.199", \ + "8.12202, 11.8139, 19.0161, 33.6163, 63.4113, 123.747, 245.187", \ + "8.47385, 12.165, 19.3565, 33.946, 63.59, 123.853, 245.244", \ + "9.33921, 13.0301, 20.0752, 34.5193, 64.3371, 124.172, 245.422", \ + "10.9973, 14.7228, 21.7216, 35.9278, 65.1203, 125.053, 245.796", \ + "13.7433, 17.5871, 24.6877, 38.8749, 67.8104, 127.878, 249.248" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "14.4902, 16.6726, 20.3596, 26.753, 38.6907, 62.1895, 109.08", \ + "15.2678, 17.4289, 21.1145, 27.5065, 39.4421, 62.942, 109.835", \ + "16.771, 18.9591, 22.6487, 29.0671, 40.9947, 64.4924, 111.382", \ + "19.3014, 21.5359, 25.3291, 31.801, 43.7872, 67.288, 114.178", \ + "23.7807, 26.1218, 30.0544, 36.6397, 48.6928, 72.2703, 119.191", \ + "31.2324, 33.8095, 38.0345, 44.9265, 57.1922, 80.8379, 127.784", \ + "43.4742, 46.3871, 51.1215, 58.6543, 71.5239, 95.7484, 142.984" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.6312, 10.7998, 16.8166, 28.8144, 53.316, 103.224, 204.097", \ + "7.64623, 10.8132, 16.8296, 28.8256, 53.3201, 103.225, 204.099", \ + "7.91922, 11.05, 17.0303, 28.9311, 53.3711, 103.24, 204.101", \ + "8.39805, 11.5495, 17.5097, 29.352, 53.6431, 103.388, 204.146", \ + "9.35239, 12.4863, 18.3299, 30.2475, 54.1693, 103.618, 204.311", \ + "11.1644, 14.3558, 20.1693, 31.5851, 55.4, 104.531, 204.621", \ + "14.2488, 17.5918, 23.4309, 34.7782, 58.5932, 106.96, 208.593" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.578788, 0.57942, 0.581732, 0.584543, 0.588114, 0.590559, 0.592046", \ + "0.57882, 0.579166, 0.581755, 0.584608, 0.58796, 0.59042, 0.591955", \ + "0.586975, 0.587723, 0.589885, 0.592977, 0.596353, 0.598771, 0.600331", \ + "0.615104, 0.615243, 0.617064, 0.620391, 0.623449, 0.625478, 0.626966", \ + "0.683734, 0.685593, 0.686595, 0.69796, 0.697604, 0.697512, 0.696506", \ + "0.836576, 0.837734, 0.839903, 0.847748, 0.856263, 0.890643, 0.856754", \ + "1.16065, 1.16126, 1.16401, 1.16979, 1.17857, 1.19042, 1.21555" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.639863, 0.639925, 0.641473, 0.643613, 0.644662, 0.645462, 0.645554", \ + "0.63914, 0.638897, 0.640432, 0.6424, 0.64365, 0.644319, 0.64462", \ + "0.64715, 0.646396, 0.647927, 0.649884, 0.651195, 0.651958, 0.652203", \ + "0.673937, 0.672444, 0.673547, 0.67558, 0.677106, 0.677968, 0.678376", \ + "0.745676, 0.743536, 0.743869, 0.745129, 0.746644, 0.747919, 0.748522", \ + "0.912701, 0.907547, 0.905917, 0.906592, 0.90789, 0.908756, 0.909274", \ + "1.2764, 1.26755, 1.26309, 1.26148, 1.26171, 1.26187, 1.26293" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.751901, 0.752522, 0.754812, 0.757538, 0.761059, 0.763475, 0.765012", \ + "0.752102, 0.752432, 0.755073, 0.757919, 0.761216, 0.763706, 0.765239", \ + "0.759948, 0.76073, 0.76289, 0.765942, 0.769233, 0.771638, 0.773213", \ + "0.787275, 0.787465, 0.789673, 0.793189, 0.796495, 0.798533, 0.800108", \ + "0.85727, 0.858365, 0.859292, 0.863811, 0.866123, 0.867989, 0.869071", \ + "1.00985, 1.01181, 1.01431, 1.01715, 1.01924, 1.02162, 1.02262", \ + "1.33379, 1.3346, 1.33736, 1.3399, 1.34252, 1.34456, 1.34679" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.780412, 0.780417, 0.781942, 0.784013, 0.785067, 0.785785, 0.785952", \ + "0.779691, 0.779472, 0.781023, 0.783, 0.784266, 0.784911, 0.785204", \ + "0.786502, 0.785637, 0.787094, 0.788993, 0.790287, 0.791025, 0.791228", \ + "0.813647, 0.811719, 0.812081, 0.814379, 0.815751, 0.816546, 0.816902", \ + "0.885505, 0.883031, 0.883766, 0.885544, 0.894934, 0.888152, 0.88737", \ + "1.0527, 1.04748, 1.04583, 1.04751, 1.05386, 1.05646, 1.05261", \ + "1.41702, 1.40814, 1.40479, 1.40924, 1.40901, 1.42282, 1.43401" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.340604, 0.342144, 0.345282, 0.349072, 0.351857, 0.353603, 0.3545", \ + "0.33928, 0.339758, 0.342172, 0.345222, 0.347782, 0.349387, 0.35028", \ + "0.347422, 0.34526, 0.347506, 0.350398, 0.352578, 0.353949, 0.355076", \ + "0.37198, 0.371453, 0.372159, 0.376085, 0.374868, 0.377249, 0.377875", \ + "0.455181, 0.452029, 0.449999, 0.446395, 0.466058, 0.456956, 0.45484", \ + "0.663305, 0.652269, 0.641248, 0.636488, 0.634141, 0.637032, 0.63845", \ + "1.11351, 1.09258, 1.07466, 1.06295, 1.04961, 1.07328, 1.09299" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.512588, 0.511827, 0.513046, 0.514539, 0.516051, 0.516882, 0.517322", \ + "0.511356, 0.510022, 0.510964, 0.512737, 0.514143, 0.514909, 0.515587", \ + "0.519653, 0.517543, 0.518142, 0.518794, 0.520526, 0.521599, 0.52233", \ + "0.55558, 0.550232, 0.548113, 0.54897, 0.550118, 0.551448, 0.551978", \ + "0.650955, 0.642207, 0.637436, 0.63479, 0.63452, 0.636494, 0.63755", \ + "0.875889, 0.861922, 0.849879, 0.841621, 0.837306, 0.835961, 0.835724", \ + "1.34508, 1.32013, 1.29802, 1.28001, 1.26814, 1.26115, 1.25828" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.535823, 0.537345, 0.540452, 0.544199, 0.546907, 0.548704, 0.549491", \ + "0.535989, 0.537126, 0.540088, 0.543488, 0.546201, 0.54791, 0.548866", \ + "0.541746, 0.541136, 0.54326, 0.547444, 0.549643, 0.551945, 0.552443", \ + "0.567238, 0.565072, 0.565115, 0.568158, 0.570997, 0.573725, 0.57516", \ + "0.651683, 0.647209, 0.642535, 0.641334, 0.643201, 0.644801, 0.646903", \ + "0.85895, 0.848177, 0.836791, 0.830028, 0.827457, 0.827283, 0.828263", \ + "1.30852, 1.28748, 1.26868, 1.25579, 1.2412, 1.23464, 1.23044" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.317699, 0.31696, 0.31823, 0.319788, 0.321364, 0.322222, 0.322691", \ + "0.316541, 0.315002, 0.31584, 0.317611, 0.318989, 0.319777, 0.320545", \ + "0.326067, 0.32281, 0.321602, 0.32475, 0.324398, 0.324543, 0.324946", \ + "0.36164, 0.355317, 0.353927, 0.354813, 0.354849, 0.354666, 0.354358", \ + "0.457044, 0.447502, 0.443701, 0.446478, 0.445304, 0.440467, 0.440408", \ + "0.680425, 0.667646, 0.654159, 0.645821, 0.652126, 0.646507, 0.643844", \ + "1.15082, 1.12762, 1.10333, 1.08712, 1.08636, 1.08704, 1.13991" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.536816; + rise_capacitance : 0.536816; + rise_capacitance_range (0.44002, 0.536816); + fall_capacitance : 0.536716; + fall_capacitance_range (0.4323, 0.536716); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.225261, 0.225169, 0.233039, 0.257545, 0.32299, 0.472231, 0.791592" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.387303, 0.38607, 0.395977, 0.427343, 0.499634, 0.66167, 1.00104" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.39248, 0.392277, 0.399956, 0.423881, 0.489922, 0.639662, 0.958902" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219796, 0.219348, 0.229369, 0.26039, 0.332204, 0.494297, 0.834116" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.242325, 0.241434, 0.249807, 0.274778, 0.3412, 0.492285, 0.814514" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373311, 0.372586, 0.381887, 0.412922, 0.485723, 0.647358, 0.98763" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402001, 0.401299, 0.408964, 0.434029, 0.500575, 0.651956, 0.974197" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.213136, 0.212637, 0.222604, 0.253057, 0.326356, 0.487469, 0.827705" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654168; + rise_capacitance : 0.654168; + rise_capacitance_range (0.527218, 0.654168); + fall_capacitance : 0.650172; + fall_capacitance_range (0.529507, 0.650172); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.94092, 7.12938, 9.44704, 10.8789, 17.5566, 24.9045, 35.1453", \ + "1.62363, 2.81459, 5.13226, 9.5106, 17.2393, 24.5873, 34.828", \ + "1.01565, 2.20661, 4.52427, 8.90261, 16.6313, 23.9793, 34.22", \ + "0.937499, 1.09696, 3.41463, 8.90625, 15.5217, 22.8696, 34.2285", \ + "-0.186769, 1.00419, 3.32186, 7.70019, 15.4289, 22.7769, 37.0151", \ + "-0.372317, 0.81864, 3.13631, 7.51465, 15.2433, 22.5913, 36.8295", \ + "3.25409, 4.44504, 6.76271, 8.26171, 14.8722, 26.2177, 40.4559" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.653379, -0.148157, 0.835406, -0.231933, 1.98663, 6.84453, 13.6765", \ + "-1.02394, -0.51872, 0.464843, -1.67305, 1.61607, 6.47397, 13.3059", \ + "-1.76089, -1.25567, -0.272104, -2.41, 0.879123, 5.73702, 12.569", \ + "-6.16455, -2.71286, -1.72929, -2.73438, -0.578065, 4.27984, 8.24219", \ + "-10.0631, -9.5579, -8.57434, -6.71473, -3.42561, 1.43229, 8.26422", \ + "-15.4909, -14.9857, -14.0021, -12.1425, -8.85337, -3.99547, -1.16104", \ + "-25.2771, -20.7744, -23.7883, -20.8106, -18.6396, -17.7792, -10.9473" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.06055, 0.576188, -2.30622, -6.67481, -9.18917, -18.5849, -31.2723", \ + "2.39349, 0.909133, -1.97328, -3.39539, -8.85623, -18.2519, -30.9393", \ + "3.03493, 1.55057, -1.33185, -2.75395, -8.21479, -17.6105, -30.2979", \ + "5.25147, 2.73561, -0.1468, -4.45312, -7.02975, -16.4254, -31.9922", \ + "6.19877, 4.71441, 1.832, 0.409896, -9.04844, -14.4466, -31.1316", \ + "9.45841, 7.97405, 5.09163, -0.327972, -5.78881, -15.1845, -27.8719", \ + "7.11548, 5.63113, 2.74871, -1.55273, -4.13423, -13.5299, -30.2148" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.4623, 9.99238, 9.09082, 4.51416, 7.20987, 6.74739, 9.81994", \ + "11.1994, 10.7294, 9.82784, 8.17813, 7.94689, 7.48441, 10.557", \ + "12.6442, 12.1743, 11.2727, 9.62298, 5.39424, 8.92927, 8.00432", \ + "12.4707, 10.9497, 10.0482, 9.53125, 8.1672, 7.70473, 7.97948", \ + "16.4986, 16.0286, 15.127, 13.4773, 13.2461, 8.78612, 7.86117", \ + "24.7883, 24.3183, 23.4167, 21.767, 17.5383, 17.0758, 12.1534", \ + "37.8928, 37.4228, 36.5212, 31.9922, 30.6428, 26.1828, 25.2579" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0567798, -0.0577731, -0.0586042, -0.0591243, -0.0593412, -0.0596455, -0.0599353" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0642583, 0.064148, 0.0643755, 0.0640553, 0.0644328, 0.0638341, 0.0633937" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103555, 0.102645, 0.102255, 0.101774, 0.100733, 0.0999289, 0.0993267" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0949566, -0.0949741, -0.0955851, -0.0960081, -0.0970478, -0.0965245, -0.0960774" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx2_ASAP7_75t_L) { + area : 0.23328; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1359.6; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1237.99; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1253.76; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1317.28; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1420.58; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1105.72; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1282.49; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "23.5211, 25.8351, 29.8625, 37.0343, 50.5505, 77.1956, 130.373", \ + "24.7284, 27.029, 31.0461, 38.2204, 51.7366, 78.3782, 131.557", \ + "26.2418, 28.5511, 32.5784, 39.7511, 53.2691, 79.9144, 133.094", \ + "28.3042, 30.6231, 34.6514, 41.8149, 55.3275, 81.9701, 135.149", \ + "30.7838, 33.0921, 37.1223, 44.2886, 57.7959, 84.4596, 137.676", \ + "33.3863, 35.6871, 39.7116, 46.8737, 60.4066, 87.0256, 140.535", \ + "35.0375, 37.3279, 41.3471, 48.5151, 62.0227, 88.6547, 141.832" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.47031, 12.3424, 19.7436, 34.5539, 64.751, 126.007, 249.529", \ + "8.47325, 12.3413, 19.7415, 34.5543, 64.7512, 126.005, 249.529", \ + "8.46822, 12.3357, 19.7401, 34.5515, 64.7504, 126.007, 249.53", \ + "8.45654, 12.3227, 19.7357, 34.5467, 64.7474, 126.008, 249.529", \ + "8.45021, 12.3368, 19.7237, 34.7673, 64.89, 126.024, 249.565", \ + "8.4123, 12.2818, 19.7846, 34.5245, 64.8383, 126.291, 249.856", \ + "8.3486, 12.2221, 19.6706, 34.5098, 64.7936, 126.273, 250.086" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "22.1179, 24.496, 28.4413, 35.176, 47.3951, 71.0849, 118.286", \ + "23.2341, 25.6084, 29.5557, 36.292, 48.5107, 72.2134, 119.402", \ + "24.9196, 27.2908, 31.2328, 37.9693, 50.1899, 73.8918, 121.065", \ + "27.045, 29.4203, 33.3659, 40.1093, 52.3372, 76.0326, 123.222", \ + "29.7815, 32.1605, 36.1125, 42.8536, 55.0732, 78.7865, 125.961", \ + "32.8914, 35.2786, 39.2546, 46.0095, 58.2406, 81.9477, 129.128", \ + "35.3001, 37.7388, 41.7646, 48.5859, 60.8606, 84.5709, 131.818" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.62585, 11.856, 18.0611, 30.2621, 55.1057, 105.793, 208.61", \ + "8.62969, 11.8582, 18.0613, 30.2616, 55.1058, 105.818, 208.61", \ + "8.66469, 11.885, 18.084, 30.2758, 55.0989, 105.819, 208.606", \ + "8.7384, 11.9651, 18.1503, 30.3306, 55.1439, 105.856, 208.617", \ + "8.93246, 12.1005, 18.263, 30.4787, 55.1776, 105.858, 208.62", \ + "9.3141, 12.4687, 18.5982, 30.6178, 55.3133, 106.091, 208.646", \ + "10.1667, 13.2326, 19.2073, 31.0929, 55.6187, 106.45, 210.79" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.4471, 17.7721, 21.816, 28.9884, 42.4991, 69.1365, 122.309", \ + "15.9975, 18.3134, 22.3517, 29.5218, 43.0319, 69.6693, 122.843", \ + "17.0066, 19.338, 23.3758, 30.5492, 44.0569, 70.6935, 123.869", \ + "18.4531, 20.8163, 24.8941, 32.1557, 45.6627, 72.2924, 125.469", \ + "20.5777, 22.9817, 27.1353, 34.3851, 47.9435, 74.638, 127.869", \ + "22.841, 25.3995, 29.7663, 37.2252, 50.9648, 77.704, 130.953", \ + "24.1196, 26.9481, 31.6914, 39.6227, 53.7683, 80.9702, 134.43" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.51277, 12.3632, 19.7473, 34.5555, 64.7441, 125.998, 249.528", \ + "8.52155, 12.3751, 19.7628, 34.5649, 64.7478, 126.01, 249.529", \ + "8.71803, 12.547, 19.9043, 34.6442, 64.785, 126.021, 249.531", \ + "9.13763, 12.9549, 20.3925, 35.0103, 64.998, 126.132, 249.566", \ + "10.0387, 13.8248, 21.0425, 35.634, 65.6611, 126.355, 249.744", \ + "11.8329, 15.6266, 22.7462, 37.1621, 66.6389, 127.562, 250.031", \ + "15.0756, 18.8494, 26.0212, 40.1205, 69.29, 130.668, 253.141" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.1833, 19.5592, 23.5354, 30.2636, 42.4622, 66.1684, 113.349", \ + "17.9672, 20.3412, 24.32, 31.0356, 43.2423, 66.9476, 114.143", \ + "19.5625, 21.9198, 25.8958, 32.6154, 44.8172, 68.5161, 115.693", \ + "22.3739, 24.799, 28.8334, 35.628, 47.8824, 71.578, 118.768", \ + "27.2321, 29.7382, 33.9167, 40.8123, 53.1348, 76.938, 124.079", \ + "35.4484, 38.1484, 42.531, 49.7426, 62.2679, 86.0612, 133.562", \ + "48.7752, 51.8904, 56.7345, 64.5086, 77.6039, 101.904, 149.376" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.61806, 11.8933, 18.0858, 30.2664, 55.0883, 105.79, 208.606", \ + "8.6206, 11.8963, 18.0876, 30.281, 55.0841, 105.789, 208.614", \ + "8.81635, 12.0848, 18.2234, 30.3538, 55.1246, 105.802, 208.59", \ + "9.39658, 12.6629, 18.7879, 30.7741, 55.3996, 105.934, 208.657", \ + "10.4176, 13.6265, 19.6638, 31.5487, 55.9898, 106.287, 208.804", \ + "12.518, 15.6658, 21.6994, 33.2484, 57.2499, 107.474, 209.402", \ + "16.074, 19.2487, 25.2076, 36.6886, 60.2996, 109.349, 211.691" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.701986, 0.696061, 0.695632, 0.699183, 0.704053, 0.708017, 0.710696", \ + "0.702153, 0.696034, 0.695618, 0.69909, 0.703937, 0.707917, 0.71059", \ + "0.709641, 0.703656, 0.703346, 0.707102, 0.71181, 0.715829, 0.718387", \ + "0.735582, 0.730419, 0.731483, 0.733879, 0.737744, 0.741478, 0.743997", \ + "0.805069, 0.802714, 0.804852, 0.816754, 0.821443, 0.813685, 0.820961", \ + "0.957665, 0.951502, 0.958278, 0.963404, 0.97582, 0.985564, 1.00753", \ + "1.28171, 1.27469, 1.27497, 1.2845, 1.29677, 1.30246, 1.31625" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.837331, 0.823093, 0.819029, 0.819135, 0.819665, 0.81996, 0.820429", \ + "0.836711, 0.822309, 0.818323, 0.818483, 0.818855, 0.819329, 0.819526", \ + "0.844789, 0.830339, 0.825341, 0.825084, 0.825865, 0.826398, 0.826628", \ + "0.871952, 0.856185, 0.850148, 0.84981, 0.850766, 0.851519, 0.851547", \ + "0.945872, 0.927899, 0.92008, 0.918459, 0.91893, 0.919903, 0.9203", \ + "1.11381, 1.09269, 1.0802, 1.0772, 1.07738, 1.07757, 1.07782", \ + "1.48141, 1.45445, 1.43574, 1.42725, 1.42436, 1.42371, 1.42412" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.875045, 0.869093, 0.86857, 0.872086, 0.876825, 0.880862, 0.883533", \ + "0.874709, 0.868658, 0.868813, 0.872107, 0.876794, 0.880748, 0.883524", \ + "0.881804, 0.875681, 0.875241, 0.878892, 0.883451, 0.887527, 0.890068", \ + "0.908269, 0.902555, 0.903699, 0.90659, 0.911364, 0.915345, 0.917939", \ + "0.977821, 0.973644, 0.97325, 0.976134, 0.98054, 0.984413, 0.986197", \ + "1.13153, 1.12609, 1.12759, 1.12934, 1.13479, 1.13747, 1.14016", \ + "1.45521, 1.44974, 1.44967, 1.45303, 1.45698, 1.46069, 1.46336" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.977849, 0.96356, 0.959399, 0.959445, 0.959974, 0.960232, 0.960619", \ + "0.977248, 0.962866, 0.958691, 0.958325, 0.959231, 0.959751, 0.959839", \ + "0.984148, 0.969459, 0.964257, 0.963872, 0.96459, 0.965149, 0.965258", \ + "1.01184, 0.99521, 0.988686, 0.9879, 0.988487, 0.988753, 0.98948", \ + "1.08578, 1.06831, 1.06377, 1.06179, 1.05656, 1.05905, 1.05676", \ + "1.2542, 1.23346, 1.22197, 1.21829, 1.22308, 1.22194, 1.21946", \ + "1.62179, 1.59552, 1.57887, 1.57086, 1.57488, 1.58119, 1.67456" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.468396, 0.463237, 0.464482, 0.468614, 0.473003, 0.475943, 0.477693", \ + "0.466528, 0.460072, 0.460484, 0.464467, 0.468625, 0.471731, 0.473318", \ + "0.476343, 0.46794, 0.464319, 0.468052, 0.471209, 0.474711, 0.476888", \ + "0.509956, 0.496701, 0.493699, 0.493743, 0.491706, 0.491763, 0.49249", \ + "0.604135, 0.5808, 0.56838, 0.564879, 0.579609, 0.56022, 0.565659", \ + "0.833011, 0.798046, 0.770423, 0.762577, 0.757899, 0.774438, 0.743425", \ + "1.3457, 1.29463, 1.24374, 1.19073, 1.17233, 1.21563, 1.22949" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.709521, 0.695118, 0.689602, 0.68853, 0.689359, 0.690021, 0.690449", \ + "0.705903, 0.690888, 0.685869, 0.685627, 0.686277, 0.687305, 0.687842", \ + "0.716383, 0.700295, 0.69262, 0.690816, 0.691111, 0.692196, 0.69265", \ + "0.758141, 0.735068, 0.723052, 0.718747, 0.71888, 0.718618, 0.71965", \ + "0.867291, 0.83616, 0.814203, 0.802904, 0.798177, 0.798128, 0.797252", \ + "1.12604, 1.07759, 1.04107, 1.0142, 1.00114, 0.995564, 0.99302", \ + "1.65799, 1.5897, 1.52327, 1.47463, 1.44171, 1.4233, 1.41453" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.663599, 0.658413, 0.659587, 0.66368, 0.667917, 0.670978, 0.672676", \ + "0.663809, 0.658034, 0.658906, 0.66307, 0.667185, 0.670349, 0.671954", \ + "0.671435, 0.663429, 0.66225, 0.664983, 0.668886, 0.67216, 0.673728", \ + "0.705874, 0.690964, 0.685881, 0.686318, 0.688514, 0.691599, 0.694361", \ + "0.799094, 0.776973, 0.763479, 0.756811, 0.75649, 0.757655, 0.759138", \ + "1.0288, 0.993229, 0.965609, 0.946815, 0.936425, 0.93344, 0.933865", \ + "1.54099, 1.48898, 1.43772, 1.38451, 1.35958, 1.34002, 1.33152" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.514624, 0.500254, 0.494838, 0.494835, 0.495599, 0.496126, 0.496293", \ + "0.511566, 0.496542, 0.491609, 0.491524, 0.492292, 0.493289, 0.493973", \ + "0.521598, 0.502203, 0.494717, 0.493988, 0.495021, 0.494178, 0.494566", \ + "0.563363, 0.541589, 0.52666, 0.52118, 0.518757, 0.51958, 0.518435", \ + "0.672247, 0.639812, 0.620787, 0.608922, 0.60634, 0.605424, 0.599556", \ + "0.930397, 0.8832, 0.846805, 0.819651, 0.824547, 0.831981, 0.827637", \ + "1.46318, 1.39545, 1.32692, 1.27888, 1.25502, 1.2539, 1.28715" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.536535; + rise_capacitance : 0.536535; + rise_capacitance_range (0.438814, 0.536535); + fall_capacitance : 0.53648; + fall_capacitance_range (0.431999, 0.53648); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.225924, 0.225359, 0.233413, 0.258274, 0.322885, 0.471915, 0.791151" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.387213, 0.386507, 0.395995, 0.427213, 0.499292, 0.661252, 1.00124" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.393115, 0.392705, 0.400297, 0.425028, 0.489732, 0.639257, 0.958389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219961, 0.219286, 0.229437, 0.260145, 0.33194, 0.493942, 0.8339" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.243076, 0.242141, 0.250178, 0.2748, 0.341037, 0.49191, 0.814062" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373532, 0.372541, 0.381717, 0.41278, 0.485269, 0.646848, 0.987029" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402721, 0.401913, 0.409148, 0.434168, 0.501054, 0.651503, 0.973655" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.213798, 0.212633, 0.222574, 0.252987, 0.32601, 0.487011, 0.827202" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.653788; + rise_capacitance : 0.653788; + rise_capacitance_range (0.525645, 0.653788); + fall_capacitance : 0.649904; + fall_capacitance_range (0.529637, 0.649904); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.05268, 4.29127, 6.70225, 8.33252, 15.3173, 23.1942, 33.9954", \ + "2.73362, 3.97221, 6.38319, 6.9429, 14.9982, 22.8752, 33.6763", \ + "2.11932, 3.35791, 5.7689, 6.32861, 14.384, 22.2609, 33.062", \ + "-1.96045, 2.22462, 4.6356, 6.32812, 13.2507, 21.1276, 33.0566", \ + "-0.899373, 0.339218, 2.75021, 3.30992, 11.3653, 19.2422, 34.0408", \ + "-4.09337, -2.85478, -0.443791, 4.11342, 8.17126, 20.0457, 30.8468", \ + "-1.53839, -0.299805, 2.11118, 3.78906, 10.7262, 18.6031, 33.4018" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.53912, -2.99839, -1.94479, -2.85644, -0.40078, 4.90767, 12.3898", \ + "-3.91159, -3.37086, -2.31726, -0.321505, -0.773252, 4.5352, 8.01987", \ + "-4.65499, -4.11426, -3.06066, -5.0624, -1.51664, 3.79181, 7.27648", \ + "-9.0625, -5.59483, -4.54123, -5.39062, -2.99722, 2.31123, 6.9336", \ + "-13.0694, -12.5286, -11.475, -9.47927, -5.93351, -0.625065, 2.85961", \ + "-18.8426, -18.3018, -17.2482, -15.2525, -11.7067, -10.3958, -2.91361", \ + "-29.9915, -25.4532, -24.3996, -25.2832, -22.8556, -21.5447, -14.0625" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.75879, 1.57526, -0.733942, -4.05029, -8.97123, -16.9657, -30.1321", \ + "3.44811, 2.26458, -0.0446181, -4.43153, -8.2819, -16.2764, -29.4428", \ + "4.77412, 3.59059, 1.28139, -3.10552, -6.95589, -14.9504, -28.1168", \ + "8.2666, 6.03204, 3.72285, 0.46875, -4.51444, -12.5089, -28.5449", \ + "11.2563, 10.0727, 7.76352, 3.37661, -4.47126, -12.4658, -25.6322", \ + "13.2283, 12.0448, 9.73555, 5.34865, 1.49827, -10.4937, -23.6601", \ + "15.9152, 10.7342, 8.425, 5.15626, 0.187715, -7.80677, -20.9732" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.3871, 12.877, 11.9008, 7.2168, 10.0885, 10.017, 17.869", \ + "14.1285, 13.6184, 12.6422, 10.8656, 10.8298, 10.7584, 14.6129", \ + "15.5878, 15.0777, 14.1015, 12.3249, 12.2892, 12.2177, 16.0722", \ + "15.4858, 17.9027, 16.9264, 12.3047, 11.1166, 11.0451, 12.2967", \ + "23.6879, 19.1802, 18.204, 16.4274, 16.3917, 12.3227, 12.1797", \ + "28.7412, 28.2311, 27.2549, 25.4783, 21.445, 21.3736, 17.2331", \ + "44.8435, 44.3333, 43.3571, 38.7012, 37.5473, 33.4783, 29.3378" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.056572, -0.0576429, -0.0585402, -0.0589251, -0.0593999, -0.0596699, -0.0598624" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0643678, 0.0644223, 0.0641969, 0.0638892, 0.0645422, 0.0639268, 0.0634835" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102332, 0.102108, 0.102353, 0.101175, 0.101611, 0.10029, 0.099423" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0948779, -0.095034, -0.0952934, -0.0957633, -0.0969822, -0.0964502, -0.0960111" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx3_ASAP7_75t_L) { + area : 0.24786; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1687.86; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1458.67; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1582.03; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1538; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1748.87; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1326.41; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1556.97; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "25.3331, 27.0629, 30.0833, 35.3607, 44.8018, 62.7873, 98.3841", \ + "26.5337, 28.2619, 31.278, 36.5705, 45.9998, 63.9913, 99.6036", \ + "28.0699, 29.8049, 32.8276, 38.1026, 47.5406, 65.5326, 101.127", \ + "30.1174, 31.8498, 34.8704, 40.1404, 49.5759, 67.5579, 103.158", \ + "32.5868, 34.3209, 37.3372, 42.6107, 52.0493, 70.0472, 105.649", \ + "35.1913, 36.9188, 39.9308, 45.1995, 54.6339, 72.7256, 108.204", \ + "36.8312, 38.5571, 41.5594, 46.8252, 56.2816, 74.2325, 109.883" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.48549, 11.1234, 16.2255, 26.0879, 45.9841, 86.5545, 168.836", \ + "8.48318, 11.1247, 16.2248, 26.0893, 45.9832, 86.5536, 168.836", \ + "8.48052, 11.1213, 16.2191, 26.0863, 45.9808, 86.5517, 168.846", \ + "8.50671, 11.1144, 16.2111, 26.0845, 45.9828, 86.555, 168.847", \ + "8.48175, 11.1203, 16.2152, 26.1533, 46.1418, 86.5666, 168.865", \ + "8.4335, 11.0749, 16.2183, 26.0679, 45.9777, 87.7665, 168.944", \ + "8.3799, 11.0311, 16.1282, 26.0746, 45.9483, 86.7271, 169.164" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "24.3108, 26.1282, 29.1914, 34.3452, 43.1802, 59.437, 91.1796", \ + "25.4085, 27.2349, 30.2977, 35.4538, 44.2883, 60.5507, 92.291", \ + "27.1524, 28.9692, 32.0298, 37.1814, 46.0179, 62.275, 94.0241", \ + "29.2615, 31.0856, 34.1424, 39.2986, 48.1418, 64.4056, 96.1614", \ + "32.0378, 33.8494, 36.9054, 42.0577, 50.8995, 67.1591, 98.9153", \ + "35.2628, 37.0767, 40.1353, 45.2971, 54.148, 70.4351, 102.164", \ + "37.9457, 39.7964, 42.864, 48.0536, 56.9447, 73.2334, 104.977" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.15038, 11.3103, 15.6259, 23.9662, 40.5772, 74.4376, 143.563", \ + "9.15188, 11.305, 15.6324, 23.9689, 40.5778, 74.4452, 143.564", \ + "9.16375, 11.3183, 15.6391, 23.9782, 40.5814, 74.4387, 143.565", \ + "9.23752, 11.3878, 15.705, 24.0379, 40.6235, 74.4759, 143.581", \ + "9.35695, 11.5054, 15.801, 24.1088, 40.7467, 74.4929, 143.589", \ + "9.6809, 11.8007, 16.059, 24.3218, 41.1827, 74.6667, 143.637", \ + "10.4633, 12.5226, 16.7071, 24.8153, 41.1586, 75.0387, 143.898" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.2608, 18.9971, 22.0345, 27.3131, 36.7515, 54.7276, 90.3218", \ + "17.8187, 19.5559, 22.5864, 27.8709, 37.3058, 55.292, 90.8817", \ + "18.9385, 20.6736, 23.6931, 28.9612, 38.3963, 56.3757, 91.9619", \ + "20.6238, 22.3751, 25.4246, 30.7341, 40.208, 58.1951, 93.7782", \ + "23.1781, 24.9403, 28.0442, 33.415, 42.9216, 60.9403, 96.5488", \ + "26.1026, 27.9817, 31.2111, 36.7652, 46.4165, 64.5199, 100.193", \ + "28.345, 30.3942, 33.917, 39.8453, 49.8644, 68.4189, 104.402" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.5113, 11.1541, 16.2326, 26.0896, 45.986, 86.5471, 168.834", \ + "8.51098, 11.1554, 16.2387, 26.1003, 45.9844, 86.5461, 168.831", \ + "8.65654, 11.2812, 16.3552, 26.1868, 46.0353, 86.5677, 168.841", \ + "9.12592, 11.7559, 16.9156, 26.5616, 46.3021, 86.7332, 168.926", \ + "10.0866, 12.6538, 17.6314, 27.2878, 46.9248, 87.0621, 169.097", \ + "12.0687, 14.561, 19.4677, 28.963, 48.42, 88.1639, 169.704", \ + "15.766, 18.168, 22.9694, 32.4701, 51.3534, 90.6165, 172.331" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.2944, 21.1216, 24.2018, 29.3605, 38.1771, 54.4339, 86.1776", \ + "20.0824, 21.9055, 24.9931, 30.1559, 38.9771, 55.2342, 86.9756", \ + "21.7472, 23.5508, 26.6349, 31.7833, 40.5965, 56.9181, 88.6535", \ + "24.8093, 26.6543, 29.7659, 34.9724, 43.8209, 60.0799, 91.8284", \ + "30.0174, 31.9256, 35.126, 40.4571, 49.4375, 65.7785, 97.5693", \ + "38.6941, 40.7398, 44.1231, 49.6516, 58.837, 75.3119, 107.093", \ + "53.1489, 55.2717, 58.9683, 65.0191, 74.7735, 91.7741, 123.818" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.1203, 11.3452, 15.6698, 23.9964, 40.5896, 74.4441, 143.56", \ + "9.12053, 11.3393, 15.6607, 23.99, 40.5815, 74.4259, 143.572", \ + "9.21934, 11.4587, 15.7242, 24.0657, 40.6367, 74.4578, 143.576", \ + "9.88805, 12.0708, 16.3166, 24.5205, 40.9552, 74.6127, 143.623", \ + "10.9922, 13.165, 17.352, 25.5422, 41.9637, 75.1718, 143.939", \ + "13.2764, 15.3894, 19.4881, 27.4395, 43.3277, 76.494, 144.539", \ + "17.1755, 19.3677, 23.4111, 31.225, 47.0897, 79.1298, 147.98" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.920467, 0.893657, 0.877496, 0.872845, 0.874819, 0.879277, 0.882982", \ + "0.920113, 0.893642, 0.877156, 0.872687, 0.874623, 0.87905, 0.882931", \ + "0.928094, 0.901413, 0.885471, 0.880776, 0.882581, 0.887023, 0.8912", \ + "0.955182, 0.928289, 0.912163, 0.905947, 0.907275, 0.911309, 0.915063", \ + "1.02533, 0.999278, 0.982633, 0.986377, 0.994131, 0.982353, 0.986343", \ + "1.17554, 1.14837, 1.13396, 1.12941, 1.1399, 1.23185, 1.15075", \ + "1.49581, 1.46849, 1.45725, 1.45895, 1.45541, 1.50315, 1.54725" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09591, 1.05081, 1.01874, 1.00433, 0.99907, 0.997336, 0.996361", \ + "1.09426, 1.0506, 1.01689, 1.00262, 0.997839, 0.996219, 0.995369", \ + "1.10269, 1.05832, 1.02488, 1.00996, 1.00509, 1.00345, 1.00275", \ + "1.13198, 1.08616, 1.05127, 1.03553, 1.03016, 1.02837, 1.02759", \ + "1.20723, 1.16017, 1.12182, 1.10355, 1.09764, 1.09565, 1.09684", \ + "1.37946, 1.32651, 1.28563, 1.26272, 1.2548, 1.25133, 1.25011", \ + "1.75841, 1.69866, 1.6474, 1.61594, 1.60112, 1.59586, 1.59417" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09355, 1.0667, 1.05049, 1.04575, 1.0477, 1.0521, 1.05583", \ + "1.09326, 1.06657, 1.0504, 1.04585, 1.04774, 1.05187, 1.05596", \ + "1.10094, 1.07423, 1.05819, 1.05337, 1.05506, 1.05944, 1.06338", \ + "1.12766, 1.10048, 1.08441, 1.08012, 1.08243, 1.08643, 1.08994", \ + "1.19867, 1.17277, 1.15585, 1.15041, 1.15216, 1.15569, 1.15925", \ + "1.34965, 1.32302, 1.30791, 1.303, 1.3051, 1.30977, 1.3119", \ + "1.66905, 1.64218, 1.628, 1.62412, 1.62644, 1.63047, 1.63508" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.23671, 1.19157, 1.15942, 1.14493, 1.13963, 1.13785, 1.13686", \ + "1.23516, 1.19156, 1.15789, 1.14364, 1.13886, 1.13723, 1.13635", \ + "1.24233, 1.19801, 1.16455, 1.1496, 1.14472, 1.14307, 1.14234", \ + "1.27061, 1.22545, 1.19015, 1.1734, 1.16859, 1.1664, 1.16602", \ + "1.3476, 1.30041, 1.26185, 1.24309, 1.24493, 1.23371, 1.23032", \ + "1.51989, 1.46686, 1.42801, 1.40737, 1.42619, 1.40505, 1.39876", \ + "1.89759, 1.83923, 1.78889, 1.75564, 1.74591, 1.77166, 1.77643" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.690596, 0.663687, 0.649004, 0.645464, 0.647107, 0.65066, 0.6533", \ + "0.68785, 0.660728, 0.644447, 0.64109, 0.643565, 0.647519, 0.650246", \ + "0.699294, 0.671132, 0.649344, 0.641129, 0.641321, 0.643684, 0.645907", \ + "0.742643, 0.707631, 0.68823, 0.664113, 0.662554, 0.661721, 0.66445", \ + "0.855189, 0.805862, 0.770806, 0.746965, 0.733394, 0.729477, 0.727789", \ + "1.12618, 1.06359, 1.00064, 0.956609, 0.931699, 0.923294, 0.917259", \ + "1.72718, 1.63461, 1.53456, 1.4463, 1.38147, 1.32919, 1.36721" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.967966, 0.923846, 0.889854, 0.874404, 0.86897, 0.866369, 0.865469", \ + "0.963778, 0.919167, 0.885032, 0.870034, 0.865057, 0.863419, 0.862882", \ + "0.974355, 0.930006, 0.890767, 0.875752, 0.870361, 0.868355, 0.868223", \ + "1.02732, 0.974254, 0.929438, 0.905407, 0.895502, 0.891787, 0.890248", \ + "1.16069, 1.09527, 1.03372, 0.99602, 0.977051, 0.968343, 0.967098", \ + "1.46183, 1.38061, 1.2935, 1.2284, 1.18686, 1.16589, 1.1553", \ + "2.08855, 1.98849, 1.86392, 1.74857, 1.66785, 1.61515, 1.5881" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.885733, 0.858814, 0.844069, 0.840438, 0.842031, 0.845565, 0.848226", \ + "0.884274, 0.857502, 0.841493, 0.838182, 0.840536, 0.844337, 0.846986", \ + "0.895376, 0.865932, 0.848821, 0.842645, 0.843669, 0.846864, 0.849405", \ + "0.938148, 0.902232, 0.877969, 0.863966, 0.862211, 0.864505, 0.864685", \ + "1.04961, 1.00218, 0.965525, 0.939951, 0.928598, 0.925823, 0.927047", \ + "1.32138, 1.25871, 1.19597, 1.1487, 1.11775, 1.10189, 1.09622", \ + "1.9222, 1.83016, 1.72972, 1.63314, 1.56837, 1.52063, 1.49555" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.773357, 0.729248, 0.696274, 0.680717, 0.675128, 0.672826, 0.67179", \ + "0.769037, 0.724456, 0.69033, 0.675458, 0.670612, 0.669126, 0.668607", \ + "0.779404, 0.73134, 0.696426, 0.67468, 0.665831, 0.66801, 0.664383", \ + "0.833944, 0.781921, 0.734018, 0.707232, 0.694652, 0.690863, 0.69125", \ + "0.966464, 0.902427, 0.839588, 0.808802, 0.806791, 0.778382, 0.769049", \ + "1.26604, 1.18777, 1.09964, 1.03272, 0.990959, 0.99804, 0.955555", \ + "1.89454, 1.79166, 1.66498, 1.55413, 1.48894, 1.42051, 1.56775" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.538612; + rise_capacitance : 0.538612; + rise_capacitance_range (0.439827, 0.538612); + fall_capacitance : 0.536946; + fall_capacitance_range (0.432174, 0.536946); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.226751, 0.225981, 0.23397, 0.257897, 0.323191, 0.472067, 0.791184" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.388182, 0.386866, 0.396262, 0.427144, 0.4991, 0.661096, 1.00107" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394155, 0.393468, 0.400983, 0.425245, 0.490843, 0.639529, 0.958551" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.220561, 0.219554, 0.229568, 0.259986, 0.332013, 0.493647, 0.833602" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.243443, 0.243056, 0.250593, 0.275236, 0.341409, 0.492039, 0.814046" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.374368, 0.372781, 0.381744, 0.412677, 0.485139, 0.646579, 0.986683" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.403611, 0.402924, 0.409715, 0.434879, 0.501593, 0.651794, 0.973801" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.213795, 0.212702, 0.222319, 0.252712, 0.325661, 0.486607, 0.826705" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.653822; + rise_capacitance : 0.653822; + rise_capacitance_range (0.526572, 0.653822); + fall_capacitance : 0.650397; + fall_capacitance_range (0.52968, 0.650397); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.27387, 3.56396, 6.07214, 7.9126, 15.1076, 19.1135, 32.677", \ + "1.63573, 2.92582, 5.434, 6.16484, 14.4694, 18.4753, 32.0389", \ + "0.407993, 1.69809, 4.20626, 4.93711, 13.2417, 21.2451, 30.8111", \ + "-4.78027, -0.563238, 1.94494, 3.82812, 10.9804, 18.9838, 29.6875", \ + "-5.59938, -4.30929, -1.80111, 2.92723, 7.23434, 15.2377, 28.8013", \ + "-7.76978, -6.47969, -3.97151, 0.756834, 5.06394, 13.0673, 26.6309", \ + "-6.33087, -5.04078, -2.5326, -0.683599, 6.50284, 14.5062, 28.0698" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.50146, -6.2073, -5.62488, -3.35449, -2.29564, 1.70248, 8.18726", \ + "-7.21477, -6.92061, -6.33818, -5.19695, -3.00895, 0.989179, 7.47396", \ + "-8.61289, -8.31873, -7.7363, -6.59507, -4.40707, -0.408942, 6.07584", \ + "-10.1855, -11.001, -6.42108, -8.08594, -3.09184, -3.09122, 4.55079", \ + "-12.2064, -11.9122, -11.3298, -10.1885, -8.00053, -4.00241, 2.48237", \ + "-20.2003, -19.9061, -19.3237, -18.1825, -15.9945, -11.9963, -5.51156", \ + "-32.8918, -32.5977, -32.0153, -29.7559, -28.686, -24.6879, -18.2031" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.83496, 4.60002, 2.19374, -1.25488, -6.46613, -14.5975, -26.575", \ + "6.49246, 5.25753, 2.85124, -1.707, -5.80863, -13.94, -25.9175", \ + "7.76398, 6.52904, 4.12275, -0.435484, -4.53711, -12.6685, -24.646", \ + "11.2036, 8.89812, 6.49183, 3.08594, -2.16803, -10.2994, -25.1367", \ + "14.1754, 12.9405, 10.5342, 5.97593, 1.87431, -6.25703, -22.2321", \ + "17.9078, 16.6728, 14.2665, 9.7083, 5.60668, -2.52467, -18.4997", \ + "18.9465, 17.7116, 15.3053, 11.8652, 6.64545, -1.48589, -13.4634" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9077, 12.2997, 11.1307, 10.1294, 12.8886, 12.6705, 20.2291", \ + "17.6452, 13.0373, 11.8682, 13.7353, 13.6262, 13.408, 20.9666", \ + "19.1032, 18.4927, 17.3237, 15.1932, 11.0866, 14.866, 18.4271", \ + "19.0625, 21.3399, 20.1709, 15.2344, 13.9339, 13.7157, 14.5937", \ + "27.3702, 26.7597, 25.5907, 23.4603, 19.3537, 15.138, 14.7016", \ + "33.1138, 32.5034, 31.3343, 29.2039, 29.0948, 24.8791, 20.4452", \ + "48.2019, 47.5915, 46.4224, 45.4102, 44.1829, 39.9672, 31.5358" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0566392, -0.0575547, -0.0584658, -0.0587783, -0.059323, -0.0595547, -0.0597867" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0644699, 0.0644771, 0.0641028, 0.0641667, 0.0643258, 0.0640156, 0.0635758" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103479, 0.102151, 0.102439, 0.100778, 0.10171, 0.10033, 0.0995131" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0947897, -0.0949112, -0.0951966, -0.095801, -0.0966937, -0.0963573, -0.0959301" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx1_ASAP7_75t_L) { + area : 0.2187; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 925.489; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1096.56; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1092.28; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 885.022; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1031.33; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1017.31; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1008; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "16.9277, 19.0883, 22.9211, 29.8822, 43.1956, 69.6002, 122.341", \ + "18.2248, 20.3761, 24.2138, 31.1745, 44.4903, 70.8937, 123.637", \ + "20.4055, 22.5631, 26.3992, 33.3612, 46.678, 73.0792, 125.818", \ + "23.6468, 25.805, 29.6551, 36.6396, 49.9647, 76.3677, 129.11", \ + "28.5464, 30.7101, 34.5739, 41.58, 54.9408, 81.4172, 134.091", \ + "36.1193, 38.2889, 42.1752, 49.2206, 62.594, 89.0212, 141.893", \ + "47.9106, 50.1005, 54.0116, 61.0877, 74.5006, 100.935, 153.679" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.63044, 11.4275, 18.765, 33.4923, 63.385, 123.755, 245.208", \ + "7.64056, 11.434, 18.7686, 33.4914, 63.3856, 123.751, 245.209", \ + "7.70241, 11.4921, 18.8141, 33.5237, 63.4029, 123.759, 245.212", \ + "7.79115, 11.5762, 18.8812, 33.5913, 63.4429, 123.777, 245.222", \ + "7.94835, 11.8016, 19.0288, 33.9711, 63.5589, 123.882, 245.254", \ + "8.2567, 12.0212, 19.33, 33.887, 63.996, 124.081, 245.377", \ + "8.8402, 12.544, 19.7279, 34.2263, 63.9785, 124.172, 246.043" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.066, 26.2239, 29.8591, 36.2716, 48.2259, 71.7227, 118.616", \ + "25.3614, 27.5191, 31.1537, 37.5658, 49.5211, 73.0171, 119.912", \ + "27.4308, 29.5885, 33.2232, 39.6343, 51.5898, 75.0857, 121.981", \ + "30.6019, 32.7745, 36.4037, 42.8106, 54.7627, 78.2557, 125.149", \ + "35.4755, 37.6363, 41.266, 47.6755, 59.6274, 83.1298, 130.015", \ + "43.0403, 45.182, 48.807, 55.2064, 67.161, 90.6728, 137.588", \ + "54.8962, 56.9515, 60.6375, 66.9577, 78.911, 102.395, 149.435" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.6157, 10.7531, 16.7953, 28.8083, 53.3264, 103.242, 204.068", \ + "7.61573, 10.7508, 16.7961, 28.8062, 53.3263, 103.234, 204.068", \ + "7.61183, 10.7469, 16.7927, 28.8026, 53.3256, 103.234, 204.068", \ + "7.61773, 10.7416, 16.7833, 28.8015, 53.3251, 103.236, 204.069", \ + "7.57353, 10.7171, 16.7945, 28.8974, 53.3502, 103.252, 204.07", \ + "7.516, 10.6737, 16.7237, 28.7614, 53.3142, 103.583, 204.087", \ + "7.4254, 10.5576, 16.6569, 28.7063, 53.3849, 103.484, 205.8" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "13.135, 15.2936, 19.1151, 26.0543, 39.3689, 65.7758, 118.516", \ + "13.6698, 15.8193, 19.6378, 26.5762, 39.8886, 66.2962, 119.03", \ + "14.514, 16.69, 20.5418, 27.4969, 40.8135, 67.2188, 119.967", \ + "15.7178, 17.9044, 21.7748, 28.8076, 42.1662, 68.5963, 121.333", \ + "17.281, 19.5702, 23.5383, 30.5971, 43.9719, 70.5661, 123.271", \ + "18.6784, 21.1257, 25.3233, 32.6242, 46.2122, 72.7552, 125.567", \ + "18.9135, 21.641, 26.2485, 34.0142, 48.0659, 75.2838, 128.423" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.86556, 11.5809, 18.8308, 33.5012, 63.3632, 123.736, 245.195", \ + "7.89409, 11.6066, 18.8517, 33.5108, 63.3676, 123.735, 245.213", \ + "8.14423, 11.8291, 19.0291, 33.6242, 63.4209, 123.759, 245.198", \ + "8.48561, 12.1975, 19.3781, 33.9646, 63.6026, 123.865, 245.234", \ + "9.35371, 13.0233, 20.1108, 34.5374, 64.0614, 124.171, 245.431", \ + "11.0142, 14.7393, 21.7525, 35.9913, 65.0527, 125.061, 245.753", \ + "13.7525, 17.6216, 24.8139, 38.8236, 67.8226, 127.942, 250.918" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "14.5509, 16.7299, 20.4221, 26.8152, 38.7518, 62.2467, 109.136", \ + "15.3134, 17.4877, 21.1758, 27.5684, 39.5072, 63.0026, 109.891", \ + "16.8111, 19.007, 22.6914, 29.1057, 41.0431, 64.5355, 111.441", \ + "19.3455, 21.5798, 25.3789, 31.8478, 43.8322, 67.3387, 114.224", \ + "23.8261, 26.173, 30.1054, 36.6924, 48.7552, 72.3214, 119.237", \ + "31.3, 33.871, 38.0938, 44.9873, 57.2663, 80.8948, 127.861", \ + "43.5391, 46.4567, 51.2001, 58.7269, 71.5959, 95.8135, 143.044" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.64562, 10.814, 16.8334, 28.8312, 53.324, 103.214, 204.062", \ + "7.65811, 10.8358, 16.8492, 28.841, 53.3277, 103.226, 204.062", \ + "7.93778, 11.0719, 17.0575, 28.9616, 53.3795, 103.231, 204.065", \ + "8.41707, 11.584, 17.612, 29.3713, 53.642, 103.36, 204.11", \ + "9.37781, 12.5238, 18.3614, 30.2429, 54.1326, 103.627, 204.275", \ + "11.2088, 14.3963, 20.2007, 31.61, 55.3356, 104.588, 204.605", \ + "14.2981, 17.5818, 23.4545, 34.8089, 58.4076, 106.981, 208.562" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.721375, 0.724069, 0.728536, 0.732013, 0.73493, 0.736169, 0.736673", \ + "0.719042, 0.722065, 0.726112, 0.730531, 0.732928, 0.734672, 0.735229", \ + "0.728616, 0.730828, 0.734681, 0.738356, 0.741244, 0.742668, 0.743701", \ + "0.76196, 0.763156, 0.766739, 0.771551, 0.774315, 0.77574, 0.776493", \ + "0.842865, 0.844694, 0.844772, 0.856538, 0.854465, 0.859485, 0.856584", \ + "1.02039, 1.01827, 1.02212, 1.02822, 1.03924, 1.03484, 1.03787", \ + "1.38888, 1.38579, 1.38552, 1.38762, 1.39358, 1.40789, 1.41231" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.823588, 0.822329, 0.823111, 0.82424, 0.826121, 0.827469, 0.828336", \ + "0.822417, 0.821335, 0.821816, 0.823354, 0.825051, 0.826448, 0.827288", \ + "0.831655, 0.830509, 0.831039, 0.832569, 0.834144, 0.835538, 0.836335", \ + "0.863535, 0.862726, 0.863321, 0.864843, 0.866465, 0.867777, 0.86855", \ + "0.940355, 0.93857, 0.939227, 0.940687, 0.942254, 0.943475, 0.944375", \ + "1.10334, 1.10246, 1.10378, 1.1049, 1.10627, 1.10713, 1.10781", \ + "1.44133, 1.4411, 1.44097, 1.44345, 1.44477, 1.44623, 1.44792" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.582281, 0.584983, 0.589462, 0.592975, 0.595874, 0.59711, 0.597689", \ + "0.579928, 0.582908, 0.586906, 0.591271, 0.593666, 0.595395, 0.595959", \ + "0.590707, 0.593034, 0.597153, 0.600918, 0.603778, 0.605279, 0.606289", \ + "0.623759, 0.625227, 0.629203, 0.633405, 0.636146, 0.637842, 0.638728", \ + "0.703445, 0.705039, 0.70675, 0.71119, 0.713686, 0.715685, 0.716843", \ + "0.881092, 0.878622, 0.880587, 0.88351, 0.88668, 0.889799, 0.89014", \ + "1.24981, 1.24679, 1.24642, 1.24746, 1.24992, 1.25236, 1.25387" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.650312, 0.649064, 0.649854, 0.651002, 0.652916, 0.654223, 0.655165", \ + "0.649102, 0.648011, 0.648494, 0.650038, 0.651757, 0.653133, 0.654051", \ + "0.659126, 0.658059, 0.658667, 0.660251, 0.661867, 0.66327, 0.664127", \ + "0.691138, 0.68998, 0.690238, 0.691691, 0.693214, 0.694667, 0.695304", \ + "0.767684, 0.76592, 0.767289, 0.772953, 0.771607, 0.771863, 0.772448", \ + "0.93006, 0.929891, 0.931692, 0.934595, 0.935267, 0.948328, 0.939087", \ + "1.26733, 1.26745, 1.26735, 1.27082, 1.27635, 1.28934, 1.33224" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.344832, 0.346235, 0.34956, 0.353086, 0.356003, 0.357757, 0.35869", \ + "0.343503, 0.344488, 0.347296, 0.350743, 0.353599, 0.35537, 0.356244", \ + "0.351031, 0.349366, 0.351837, 0.355006, 0.357538, 0.359424, 0.36067", \ + "0.376069, 0.374032, 0.374205, 0.380893, 0.378994, 0.381434, 0.382135", \ + "0.459698, 0.455607, 0.453207, 0.45346, 0.453949, 0.45972, 0.457936", \ + "0.666366, 0.655423, 0.645168, 0.64144, 0.636081, 0.640901, 0.638333", \ + "1.11461, 1.09396, 1.08027, 1.06093, 1.05899, 1.07651, 1.14049" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.51172, 0.511196, 0.512288, 0.514131, 0.515273, 0.516218, 0.51667", \ + "0.511037, 0.510055, 0.511127, 0.512737, 0.514295, 0.515135, 0.515683", \ + "0.518805, 0.516523, 0.517529, 0.518511, 0.519774, 0.520977, 0.521387", \ + "0.55397, 0.54933, 0.547909, 0.548067, 0.548717, 0.550336, 0.551074", \ + "0.650159, 0.641218, 0.636755, 0.634078, 0.633597, 0.635684, 0.636693", \ + "0.875417, 0.861288, 0.848976, 0.84022, 0.837255, 0.83505, 0.834862", \ + "1.34425, 1.32022, 1.29826, 1.2799, 1.2678, 1.26099, 1.25803" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.540828, 0.542895, 0.545709, 0.549661, 0.552441, 0.554385, 0.555115", \ + "0.541274, 0.542234, 0.545275, 0.548905, 0.551812, 0.553658, 0.554615", \ + "0.546859, 0.546121, 0.54855, 0.552125, 0.554674, 0.557646, 0.557955", \ + "0.573536, 0.571674, 0.571233, 0.574878, 0.576828, 0.579698, 0.581575", \ + "0.657423, 0.651922, 0.648788, 0.647421, 0.649053, 0.650904, 0.65319", \ + "0.863637, 0.853362, 0.84245, 0.836827, 0.833404, 0.833134, 0.834039", \ + "1.31149, 1.29116, 1.2739, 1.25591, 1.24322, 1.23639, 1.23442" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.315752, 0.315257, 0.316394, 0.318302, 0.319519, 0.320331, 0.320907", \ + "0.313799, 0.31257, 0.313484, 0.315033, 0.316566, 0.317417, 0.31801", \ + "0.323539, 0.320656, 0.318511, 0.320329, 0.321928, 0.321991, 0.323623", \ + "0.358122, 0.352895, 0.35529, 0.351808, 0.351866, 0.351897, 0.35158", \ + "0.453177, 0.444667, 0.440094, 0.443094, 0.438763, 0.436909, 0.437521", \ + "0.678218, 0.664132, 0.651262, 0.645279, 0.64639, 0.648451, 0.64255", \ + "1.14796, 1.12457, 1.10157, 1.08474, 1.07917, 1.08323, 1.13741" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.543629; + rise_capacitance : 0.539018; + rise_capacitance_range (0.447515, 0.539018); + fall_capacitance : 0.543629; + fall_capacitance_range (0.436396, 0.543629); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.231502, 0.231189, 0.238584, 0.26223, 0.327128, 0.475184, 0.792599" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.382712, 0.381298, 0.391889, 0.42363, 0.498352, 0.661395, 1.00104" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37697, 0.376064, 0.383748, 0.407359, 0.472117, 0.621033, 0.938231" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237215, 0.236031, 0.246613, 0.277902, 0.352849, 0.51568, 0.855372" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.220793, 0.21902, 0.227301, 0.250437, 0.31596, 0.467767, 0.786927" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.397469, 0.396119, 0.406269, 0.438004, 0.510887, 0.673367, 1.0124" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373744, 0.372164, 0.379899, 0.403608, 0.468997, 0.620732, 0.939959" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.244329, 0.242786, 0.253239, 0.28475, 0.35789, 0.520021, 0.859241" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.660563; + rise_capacitance : 0.660563; + rise_capacitance_range (0.530664, 0.660563); + fall_capacitance : 0.657139; + fall_capacitance_range (0.53361, 0.657139); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.29248, -2.35916, -2.49612, -1.7334, -3.41856, -4.91695, -8.83422", \ + "-2.73416, -2.80084, -2.9378, -3.2261, -3.86024, -5.35863, -9.2759", \ + "-3.57326, -3.63995, -3.77691, -4.06521, -4.69934, -6.19773, -10.115", \ + "-4.0625, -1.14365, -1.2806, -4.45312, -2.20304, -3.70143, -10.498", \ + "-4.53666, -4.60334, -4.7403, -5.0286, -1.66523, -3.16362, -11.0784", \ + "-3.46105, -3.52773, -3.66469, -3.95299, -4.58712, -6.08551, -10.0028", \ + "-1.30982, -1.3765, -1.51346, -0.683599, -2.4359, -3.93429, -7.85156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.28363, 3.34206, 5.37687, 6.19141, 11.2912, 11.8785, 11.5613", \ + "1.96786, 3.02629, 5.0611, 8.80258, 10.9755, 11.5628, 11.2455", \ + "1.33907, 2.39749, 4.4323, 4.17628, 6.34916, 10.934, 10.6167", \ + "-2.87354, 1.15089, 3.18571, 4.0625, 5.10257, 9.68737, 10.4981", \ + "-2.35674, -1.29832, -3.26101, 0.480475, 2.65336, 7.23816, 6.92091", \ + "-11.0768, -10.0183, -7.98352, -4.24204, -2.06916, 2.51565, 6.1959", \ + "-15.8206, -14.7622, -16.7249, -11.8652, -6.81302, -2.22822, 1.45203" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.26685, 9.03183, 8.60692, 9.9375, 10.207, 16.9677, 27.8217", \ + "9.23921, 9.0042, 8.57928, 7.90986, 10.1794, 16.9401, 27.7941", \ + "9.19092, 8.95591, 8.53099, 7.86158, 10.1311, 16.8918, 27.7458", \ + "10.1343, 8.8873, 8.46239, 8.90625, 10.0625, 12.8257, 25.6797", \ + "13.5418, 13.3068, 12.8819, 12.2125, 10.4845, 13.2477, 20.1042", \ + "13.4911, 13.2561, 12.8312, 12.1617, 10.4338, 13.197, 20.0535", \ + "16.0739, 15.8389, 11.4165, 11.8652, 13.0166, 15.7798, 18.6388" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.38422, 0.714518, -0.581462, -1.90918, -3.00405, -5.74829, -5.4422", \ + "6.06265, 1.39295, 0.0969669, -2.30131, -2.32562, -5.06987, -4.76377", \ + "7.39555, 6.72335, 1.42987, -0.968408, -0.992719, -3.73697, -3.43087", \ + "6.99951, 9.29332, 3.99984, 2.73438, 1.57725, -1.16699, -3.73047", \ + "14.7221, 10.0524, 8.75646, 6.35819, 6.33388, 3.58963, -0.10178", \ + "18.7046, 18.0324, 16.7364, 14.3382, 10.3164, 7.57211, 7.8782", \ + "32.529, 31.8568, 26.5633, 25.2832, 24.1407, 17.399, 17.7051" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.05926, -0.0602132, -0.061239, -0.0617942, -0.0620271, -0.062179, -0.0625098" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0665426, 0.0664865, 0.0661949, 0.0663275, 0.0658941, 0.0664537, 0.0659702" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.101239, 0.100061, 0.100934, 0.100612, 0.0998989, 0.0983481, 0.0980681" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0935843, -0.0935594, -0.0938495, -0.0945155, -0.0943605, -0.0952404, -0.0948128" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx2_ASAP7_75t_L) { + area : 0.23328; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1253.76; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1317.28; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1420.58; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1105.72; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1359.6; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1237.99; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1282.49; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.8078, 22.0832, 26.0778, 33.1819, 46.5635, 72.9344, 125.582", \ + "21.1055, 23.379, 27.3722, 34.4787, 47.861, 74.2356, 126.877", \ + "23.3571, 25.6259, 29.6143, 36.7193, 50.1023, 76.4774, 129.124", \ + "26.6969, 28.9695, 32.9641, 40.0817, 53.4722, 79.8512, 132.484", \ + "31.7871, 34.0572, 38.0531, 45.1768, 58.5687, 84.9547, 137.602", \ + "39.7044, 41.9766, 45.9875, 53.1312, 66.5349, 92.9649, 145.604", \ + "52.0567, 54.3435, 58.3658, 65.5324, 78.9619, 105.484, 158.039" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.86238, 12.6357, 19.8858, 34.3831, 63.9259, 123.824, 244.611", \ + "8.87028, 12.6433, 19.8905, 34.3904, 63.9267, 123.826, 244.61", \ + "8.90777, 12.6776, 19.9166, 34.406, 63.9348, 123.828, 244.612", \ + "8.9994, 12.7613, 19.9977, 34.4606, 63.9681, 123.847, 244.609", \ + "9.19278, 12.913, 20.114, 34.7247, 64.0626, 123.873, 244.622", \ + "9.5164, 13.2448, 20.375, 34.8471, 64.2269, 124.079, 244.664", \ + "10.1937, 13.8361, 20.8826, 35.1102, 64.7736, 124.756, 245.812" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "27.0269, 29.3384, 33.2325, 39.9509, 52.187, 75.9325, 123.202", \ + "28.3204, 30.633, 34.5276, 41.2448, 53.4816, 77.2282, 124.513", \ + "30.3934, 32.7045, 36.5997, 43.3155, 55.5537, 79.2979, 126.582", \ + "33.5756, 35.8838, 39.7686, 46.4825, 58.7176, 82.4542, 129.743", \ + "38.4324, 40.7464, 44.6397, 51.3541, 63.6269, 87.3504, 134.62", \ + "46.0266, 48.3287, 52.2133, 58.9215, 71.1573, 94.9096, 142.222", \ + "57.9201, 60.2276, 64.0474, 70.7417, 82.9703, 106.706, 154.024" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.0096, 12.2629, 18.5111, 30.81, 55.8161, 106.881, 210.307", \ + "9.01365, 12.2621, 18.5116, 30.8099, 55.8109, 106.882, 210.322", \ + "9.00785, 12.2595, 18.5138, 30.8088, 55.8158, 106.856, 210.322", \ + "9.00008, 12.2513, 18.5169, 30.8027, 55.816, 106.846, 210.323", \ + "8.98016, 12.2337, 18.5245, 31.0515, 55.8696, 106.887, 210.334", \ + "8.9323, 12.1846, 18.4466, 30.8975, 56.0631, 107.082, 210.344", \ + "8.8593, 12.0984, 18.3674, 30.7052, 55.8112, 106.829, 210.99" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.8105, 18.0848, 22.0641, 29.1563, 42.5339, 68.9093, 121.556", \ + "16.3669, 18.6284, 22.606, 29.6922, 43.0689, 69.4422, 122.091", \ + "17.3563, 19.6229, 23.5998, 30.6878, 44.0602, 70.4326, 123.079", \ + "18.8763, 21.1799, 25.198, 32.3597, 45.7658, 72.1329, 124.796", \ + "20.9538, 23.3116, 27.3966, 34.6153, 47.9749, 74.4555, 127.102", \ + "23.1953, 25.6738, 29.9611, 37.3645, 50.9284, 77.4152, 130.142", \ + "24.622, 27.3647, 31.9859, 39.8099, 53.8384, 80.7808, 133.716" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.96206, 12.7082, 19.921, 34.3983, 63.9157, 123.811, 244.616", \ + "8.9738, 12.7203, 19.9377, 34.4119, 63.9214, 123.82, 244.617", \ + "9.1693, 12.8946, 20.0849, 34.4928, 63.9616, 123.833, 244.619", \ + "9.56814, 13.3217, 20.4937, 34.8172, 64.166, 123.937, 244.654", \ + "10.4661, 14.1585, 21.2071, 35.4419, 64.8142, 124.173, 244.765", \ + "12.3211, 16.011, 22.9441, 37.0176, 65.8067, 125.278, 245.131", \ + "15.5447, 19.2444, 26.2217, 40.0215, 68.5005, 127.181, 249.243" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.5826, 19.9099, 23.8529, 30.5495, 42.7762, 66.5151, 113.785", \ + "18.3657, 20.6911, 24.6322, 31.3357, 43.5587, 67.3072, 114.592", \ + "19.9548, 22.2594, 26.1967, 32.8977, 45.1223, 68.8572, 116.143", \ + "22.7787, 25.1544, 29.1757, 35.929, 48.1956, 71.9381, 119.207", \ + "27.6925, 30.1753, 34.2935, 41.143, 53.4762, 77.3357, 124.575", \ + "35.9236, 38.5271, 42.8661, 50.0585, 62.5899, 86.4266, 133.985", \ + "49.3754, 52.3585, 57.1763, 64.9007, 77.987, 102.323, 149.876" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.05162, 12.3282, 18.5506, 30.8159, 55.8064, 106.848, 210.315", \ + "9.05293, 12.3297, 18.5487, 30.8172, 55.8043, 106.847, 210.326", \ + "9.23694, 12.509, 18.6786, 30.9023, 55.8463, 106.861, 210.319", \ + "9.82666, 13.1103, 19.1965, 31.3153, 56.1229, 106.98, 210.358", \ + "10.8444, 14.0545, 20.1098, 32.0817, 56.6806, 107.374, 210.518", \ + "12.9309, 16.115, 22.2002, 33.7969, 57.8906, 108.36, 211.078", \ + "16.5036, 19.6908, 25.6142, 37.2084, 61.041, 110.282, 213.748" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.895979, 0.893467, 0.896315, 0.901327, 0.905673, 0.908314, 0.909809", \ + "0.893993, 0.891497, 0.894123, 0.899417, 0.903848, 0.906566, 0.908072", \ + "0.904398, 0.900716, 0.902933, 0.907514, 0.911803, 0.914601, 0.916112", \ + "0.937495, 0.932501, 0.935175, 0.938595, 0.943276, 0.946225, 0.947585", \ + "1.02091, 1.0131, 1.01229, 1.02857, 1.02557, 1.02363, 1.02468", \ + "1.19981, 1.18981, 1.18705, 1.19382, 1.19791, 1.20854, 1.20063", \ + "1.57787, 1.56087, 1.55027, 1.54838, 1.58687, 1.64918, 1.62839" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.01318, 1.00087, 0.995756, 0.99522, 0.996936, 0.998675, 0.999647", \ + "1.01168, 0.999721, 0.994427, 0.994203, 0.995804, 0.997499, 0.998623", \ + "1.0214, 1.00895, 1.00354, 1.00346, 1.00468, 1.00639, 1.0076", \ + "1.0533, 1.04104, 1.03636, 1.03579, 1.03723, 1.03879, 1.03988", \ + "1.13126, 1.11738, 1.11192, 1.11225, 1.11382, 1.11609, 1.11725", \ + "1.29332, 1.28043, 1.27646, 1.27726, 1.27776, 1.2792, 1.2807", \ + "1.63, 1.61819, 1.61419, 1.61419, 1.61584, 1.6173, 1.61895" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.756965, 0.754476, 0.757337, 0.76241, 0.766688, 0.769426, 0.770934", \ + "0.754945, 0.75239, 0.754954, 0.760202, 0.76454, 0.767318, 0.768832", \ + "0.766416, 0.762933, 0.765288, 0.770359, 0.774599, 0.777496, 0.77898", \ + "0.799829, 0.794876, 0.796629, 0.801021, 0.805739, 0.808768, 0.810529", \ + "0.881841, 0.874889, 0.873216, 0.87844, 0.881627, 0.885028, 0.88701", \ + "1.0603, 1.04985, 1.04616, 1.0476, 1.05141, 1.05453, 1.05708", \ + "1.43874, 1.42205, 1.4122, 1.40881, 1.41105, 1.4141, 1.41529" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.840043, 0.827745, 0.822655, 0.822181, 0.8239, 0.825655, 0.826554", \ + "0.838488, 0.826531, 0.821238, 0.821057, 0.822656, 0.824331, 0.825553", \ + "0.849035, 0.836705, 0.8314, 0.831425, 0.832674, 0.834387, 0.83569", \ + "0.880263, 0.867591, 0.861179, 0.860677, 0.862111, 0.86317, 0.864253", \ + "0.958841, 0.945608, 0.943929, 0.953031, 0.947724, 0.942053, 0.940766", \ + "1.12067, 1.10755, 1.1035, 1.11415, 1.11499, 1.128, 1.11398", \ + "1.45685, 1.4456, 1.44219, 1.44153, 1.44815, 1.45319, 1.48313" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.522918, 0.518723, 0.519903, 0.523958, 0.52825, 0.531168, 0.532902", \ + "0.520471, 0.514682, 0.515058, 0.518421, 0.522475, 0.525316, 0.527106", \ + "0.530205, 0.521802, 0.518591, 0.520835, 0.52368, 0.525916, 0.527982", \ + "0.562387, 0.552727, 0.545949, 0.548047, 0.548678, 0.55016, 0.552906", \ + "0.652578, 0.635373, 0.623723, 0.627477, 0.63319, 0.615987, 0.61722", \ + "0.884933, 0.853931, 0.825542, 0.818891, 0.817589, 0.821046, 0.795973", \ + "1.39116, 1.34474, 1.29757, 1.25304, 1.21754, 1.21807, 1.34787" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.706613, 0.694363, 0.689889, 0.689877, 0.690586, 0.691624, 0.692026", \ + "0.703871, 0.691426, 0.686877, 0.686752, 0.688396, 0.689537, 0.690296", \ + "0.713522, 0.699963, 0.69298, 0.691692, 0.692569, 0.693834, 0.694428", \ + "0.754842, 0.734257, 0.722585, 0.720011, 0.719433, 0.719146, 0.720485", \ + "0.862529, 0.833525, 0.814682, 0.803549, 0.798611, 0.798347, 0.799638", \ + "1.11873, 1.07544, 1.04093, 1.01611, 1.00137, 0.996042, 0.994308", \ + "1.64928, 1.58552, 1.52466, 1.47494, 1.4424, 1.42383, 1.41573" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.719608, 0.716006, 0.71712, 0.72107, 0.725228, 0.728251, 0.729914", \ + "0.720464, 0.715594, 0.716695, 0.720409, 0.724481, 0.727409, 0.729183", \ + "0.72879, 0.722171, 0.721158, 0.723562, 0.726732, 0.729852, 0.731132", \ + "0.757704, 0.747027, 0.741661, 0.743153, 0.745832, 0.748875, 0.752224", \ + "0.849819, 0.833346, 0.820251, 0.813403, 0.813302, 0.814942, 0.816095", \ + "1.08141, 1.05244, 1.02417, 1.00483, 0.994598, 0.990419, 0.990608", \ + "1.58806, 1.53937, 1.4926, 1.44802, 1.4152, 1.39612, 1.38887" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.510599, 0.49838, 0.494016, 0.494072, 0.494844, 0.495949, 0.496193", \ + "0.507177, 0.494653, 0.490094, 0.490061, 0.491826, 0.493004, 0.493893", \ + "0.517523, 0.500021, 0.493541, 0.492698, 0.493339, 0.492954, 0.493474", \ + "0.557397, 0.538183, 0.525941, 0.518193, 0.518572, 0.520666, 0.519693", \ + "0.665955, 0.636941, 0.622537, 0.606192, 0.603499, 0.609478, 0.600743", \ + "0.921445, 0.876876, 0.846419, 0.821457, 0.818753, 0.821417, 0.823377", \ + "1.45328, 1.39015, 1.32684, 1.28003, 1.25495, 1.24159, 1.30555" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.543054; + rise_capacitance : 0.538826; + rise_capacitance_range (0.447552, 0.538826); + fall_capacitance : 0.543054; + fall_capacitance_range (0.435676, 0.543054); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.232877, 0.23166, 0.239199, 0.262255, 0.32742, 0.47533, 0.7926" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.383355, 0.381854, 0.392244, 0.423786, 0.49832, 0.661295, 1.00083" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378124, 0.376908, 0.384288, 0.407573, 0.473357, 0.621099, 0.938143" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237934, 0.23631, 0.247037, 0.278135, 0.352847, 0.515632, 0.855287" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.221315, 0.220265, 0.228073, 0.250875, 0.316319, 0.467752, 0.78674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.398221, 0.396758, 0.406843, 0.437871, 0.511107, 0.672962, 1.01171" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.374897, 0.372876, 0.380618, 0.403966, 0.468541, 0.620647, 0.939724" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.245165, 0.24349, 0.253893, 0.284905, 0.358119, 0.519758, 0.85877" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.660321; + rise_capacitance : 0.660321; + rise_capacitance_range (0.531564, 0.660321); + fall_capacitance : 0.656197; + fall_capacitance_range (0.533574, 0.656197); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.48541, -3.17641, -2.59625, -4.51416, -2.59517, -8.60857, -12.6404", \ + "-3.57093, -3.26193, -2.68176, -5.67023, -6.67818, -8.69409, -12.7259", \ + "-3.73474, -3.42575, -2.84558, -5.83405, -6.842, -8.8579, -12.8897", \ + "-6.99951, -3.72451, -7.14184, -5, -7.14076, -9.15667, -12.0605", \ + "-8.05124, -7.74224, -7.16207, -6.15304, -7.16099, -9.1769, -13.2087", \ + "-8.0917, -7.7827, -7.20253, -6.1935, -7.20145, -9.21735, -13.2492", \ + "-8.17261, -7.86362, -7.28345, -5.15626, -7.28237, -9.29827, -13.3301" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.187988, 0.545463, 1.96059, 5.69336, 9.00162, 7.89638, 12.3132", \ + "-1.17751, -0.444054, 0.971074, 3.59422, 8.01211, 10.9044, 11.3237", \ + "-3.09807, 1.63288, 3.04801, 1.67366, 6.09154, 8.9838, 9.40316", \ + "-5.6543, -1.97437, -0.559244, -0.78125, 2.48429, 5.37655, 6.9336", \ + "-8.98682, -8.25337, -6.83824, -4.21509, 0.202791, 3.09505, 3.51441", \ + "-13.8053, -13.0718, -11.6567, -9.03356, -4.61568, -1.72342, -1.30406", \ + "-24.4641, -23.7307, -22.3155, -18.5742, -15.2745, -12.3822, -7.96539" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.21875, 8.95635, 8.48067, 8.79639, 14.1438, 20.6915, 32.6642", \ + "9.67073, 9.40832, 8.93265, 12.1753, 14.5958, 21.1435, 33.1162", \ + "10.5424, 10.28, 9.80437, 13.047, 11.47, 18.0177, 33.9879", \ + "13.1885, 11.8946, 11.4189, 11.7969, 13.0845, 19.6322, 29.3633", \ + "14.8704, 14.608, 14.1323, 13.3775, 15.798, 18.3482, 26.3233", \ + "18.1069, 17.8445, 17.3688, 16.614, 15.037, 17.5872, 25.5623", \ + "20.7102, 20.4478, 19.9721, 16.3379, 17.6402, 20.1904, 24.1681" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.52588, 5.49142, 3.50394, 0.964355, -0.928608, -2.49529, -5.62867", \ + "7.16644, 6.13198, 4.1445, 0.495298, -0.288046, -1.85473, -4.98811", \ + "8.43322, 7.39877, 5.41129, 5.75958, 0.978737, -0.58795, -3.72132", \ + "11.9605, 9.87497, 7.88749, 5.39062, 3.45494, 1.88825, -0.107427", \ + "15.6324, 14.5979, 12.6104, 12.9587, 8.17788, 6.61119, 3.47782", \ + "24.1604, 23.126, 21.1385, 21.4868, 16.7059, 15.1392, 12.0059", \ + "37.5452, 36.5107, 34.5232, 31.9922, 30.0907, 28.524, 25.3906" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0593613, -0.0602808, -0.0611743, -0.0616456, -0.061842, -0.0620751, -0.0624433" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0668504, 0.0670097, 0.0669679, 0.0666251, 0.0667612, 0.066552, 0.0660666" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102315, 0.100941, 0.100994, 0.100184, 0.0993361, 0.0985027, 0.0981377" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0935699, -0.0938651, -0.0942261, -0.0945483, -0.0947318, -0.095143, -0.094716" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx3_ASAP7_75t_L) { + area : 0.24786; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1582.03; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1538; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1748.87; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1326.41; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1687.87; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1458.67; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1556.97; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "21.3506, 23.1009, 26.1408, 31.4359, 40.8875, 58.8636, 94.4545", \ + "22.6518, 24.3981, 27.4393, 32.7327, 42.1835, 60.1681, 95.7508", \ + "24.9244, 26.6639, 29.7013, 34.9939, 44.4417, 62.4286, 98.0129", \ + "28.3368, 30.0736, 33.1077, 38.4065, 47.8596, 65.8456, 101.429", \ + "33.5241, 35.2638, 38.2915, 43.5863, 53.0401, 71.0593, 106.623", \ + "41.6571, 43.3988, 46.4245, 51.7233, 61.183, 79.208, 114.835", \ + "54.3566, 56.0938, 59.1331, 64.4404, 73.9108, 91.9026, 127.491" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.4032, 11.0341, 16.1295, 25.9909, 45.886, 86.4171, 168.69", \ + "8.39698, 11.037, 16.1381, 25.9915, 45.8863, 86.4344, 168.691", \ + "8.42223, 11.0642, 16.1535, 26.0077, 45.8932, 86.4373, 168.679", \ + "8.50523, 11.1555, 16.2437, 26.0732, 45.9293, 86.4621, 168.7", \ + "8.64667, 11.2658, 16.3341, 26.2031, 46.0379, 86.518, 168.719", \ + "8.9778, 11.6023, 16.5978, 26.3607, 46.1574, 86.9068, 169.133", \ + "9.6961, 12.1909, 17.1266, 26.7859, 46.7239, 86.7554, 169.883" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "28.6196, 30.4399, 33.4931, 38.6256, 47.427, 63.6227, 95.2104", \ + "29.9131, 31.7325, 34.7858, 39.9183, 48.7219, 64.9138, 96.5172", \ + "31.9848, 33.8052, 36.8585, 41.9907, 50.7896, 66.9868, 98.5894", \ + "35.1552, 36.9852, 40.0314, 45.1624, 53.9571, 70.1429, 101.73", \ + "40.0199, 41.8432, 44.8925, 50.0283, 58.8296, 75.0078, 106.632", \ + "47.6022, 49.4163, 52.4641, 57.5918, 66.389, 82.576, 114.21", \ + "59.4028, 61.2098, 64.2395, 69.3426, 78.1243, 94.3054, 125.889" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.97413, 11.1277, 15.4144, 23.6429, 39.9796, 73.29, 141.275", \ + "8.97478, 11.1272, 15.4137, 23.6401, 39.9738, 73.2985, 141.28", \ + "8.97286, 11.1261, 15.4118, 23.6413, 39.9783, 73.2953, 141.28", \ + "8.94969, 11.1176, 15.4124, 23.6503, 39.9864, 73.294, 141.26", \ + "8.95241, 11.1403, 15.4355, 23.6775, 39.9771, 73.2875, 141.315", \ + "8.9047, 11.0612, 15.3541, 23.5875, 40.0608, 73.8817, 141.352", \ + "8.8369, 10.9887, 15.2897, 23.5291, 40.2436, 73.3697, 142.113" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.2489, 18.9881, 22.0293, 27.3131, 36.7519, 54.7361, 90.3192", \ + "17.808, 19.5505, 22.586, 27.8704, 37.311, 55.2877, 90.8804", \ + "18.9541, 20.6987, 23.7202, 28.9805, 38.3833, 56.3622, 91.9391", \ + "20.5868, 22.3452, 25.4011, 30.7139, 40.1912, 58.1792, 93.7622", \ + "23.1274, 24.8931, 28.003, 33.3689, 42.8857, 60.9028, 96.5082", \ + "26.0347, 27.9351, 31.1698, 36.7282, 46.38, 64.4787, 100.191", \ + "28.2324, 30.3204, 33.8523, 39.7207, 49.7966, 68.3446, 104.298" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.40906, 11.0584, 16.1425, 26.0022, 45.8856, 86.4269, 168.687", \ + "8.41112, 11.0607, 16.1498, 26.0114, 45.8867, 86.4201, 168.686", \ + "8.54552, 11.1832, 16.2553, 26.0948, 45.9395, 86.4471, 168.695", \ + "9.01142, 11.6529, 16.8502, 26.4665, 46.2005, 86.6136, 168.772", \ + "9.94279, 12.5235, 17.5383, 27.1653, 47.0312, 86.9468, 168.943", \ + "11.8986, 14.4048, 19.3382, 28.9545, 48.3008, 88.0434, 169.576", \ + "15.5568, 17.9857, 22.8136, 32.367, 51.2183, 90.4714, 172.119" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.1927, 21.0164, 24.0986, 29.2453, 38.021, 54.2092, 85.7947", \ + "19.9874, 21.8115, 24.8989, 30.0459, 38.8292, 55.0181, 86.6113", \ + "21.6372, 23.4444, 26.5161, 31.6465, 40.4237, 56.5934, 88.1909", \ + "24.706, 26.5585, 29.668, 34.8727, 43.6747, 59.867, 91.4612", \ + "29.9087, 31.8309, 35.0309, 40.3452, 49.2957, 65.5393, 97.196", \ + "38.5643, 40.6194, 44.0031, 49.5231, 58.6842, 75.0848, 106.724", \ + "52.9785, 55.1245, 58.8335, 64.8619, 74.5904, 91.5231, 123.436" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.0018, 11.1967, 15.4768, 23.677, 39.9884, 73.2724, 141.264", \ + "8.99687, 11.1903, 15.4641, 23.6674, 39.9874, 73.2607, 141.262", \ + "9.11586, 11.3245, 15.546, 23.7479, 40.0355, 73.2788, 141.27", \ + "9.76966, 11.916, 16.142, 24.1919, 40.3624, 73.4634, 141.337", \ + "10.8519, 13.0477, 17.1584, 25.2288, 41.4847, 73.9911, 141.665", \ + "13.1185, 15.2335, 19.3124, 27.1163, 42.7361, 75.1915, 142.329", \ + "16.9852, 19.1863, 23.1835, 30.8919, 46.4049, 77.9785, 145.697" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.05585, 1.02988, 1.01576, 1.01382, 1.01712, 1.02076, 1.02357", \ + "1.05473, 1.02833, 1.01364, 1.01198, 1.01526, 1.01921, 1.02166", \ + "1.06546, 1.03776, 1.02285, 1.02039, 1.02344, 1.0275, 1.03002", \ + "1.10153, 1.07351, 1.0549, 1.0504, 1.05441, 1.05668, 1.0592", \ + "1.18705, 1.15763, 1.13952, 1.13627, 1.1368, 1.13983, 1.13946", \ + "1.37374, 1.34062, 1.31574, 1.30446, 1.30481, 1.32972, 1.36874", \ + "1.7637, 1.72089, 1.68866, 1.66999, 1.68877, 1.67657, 1.74538" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.26372, 1.21935, 1.18546, 1.16959, 1.16403, 1.16271, 1.16276", \ + "1.2624, 1.21802, 1.18427, 1.16829, 1.16288, 1.16161, 1.16151", \ + "1.2715, 1.2274, 1.19332, 1.17727, 1.1721, 1.17041, 1.17043", \ + "1.3039, 1.26018, 1.22668, 1.21023, 1.20529, 1.20338, 1.20338", \ + "1.38023, 1.33734, 1.30241, 1.28594, 1.2799, 1.28023, 1.28188", \ + "1.54176, 1.49866, 1.46413, 1.45026, 1.44525, 1.44304, 1.44209", \ + "1.87902, 1.83552, 1.8049, 1.78894, 1.7849, 1.78246, 1.78287" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.915972, 0.890017, 0.875923, 0.874025, 0.877348, 0.881033, 0.883831", \ + "0.914859, 0.888397, 0.873623, 0.871908, 0.875146, 0.879077, 0.881517", \ + "0.926392, 0.898755, 0.883883, 0.881407, 0.884614, 0.888609, 0.891098", \ + "0.962347, 0.934374, 0.917656, 0.91344, 0.91612, 0.920065, 0.923008", \ + "1.04773, 1.01765, 0.997377, 0.990113, 0.991508, 0.995628, 0.998071", \ + "1.23347, 1.19911, 1.17423, 1.16199, 1.16024, 1.16362, 1.16653", \ + "1.62447, 1.58217, 1.54862, 1.52778, 1.52021, 1.51924, 1.5216" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09115, 1.0468, 1.01294, 0.997094, 0.991571, 0.990301, 0.990319", \ + "1.08982, 1.04542, 1.01166, 0.995689, 0.990293, 0.989088, 0.989108", \ + "1.09967, 1.05569, 1.02173, 1.00578, 1.00066, 0.999048, 0.999209", \ + "1.13006, 1.08619, 1.05025, 1.03428, 1.02735, 1.02719, 1.0237", \ + "1.20822, 1.16535, 1.12977, 1.11676, 1.11243, 1.10312, 1.09916", \ + "1.36998, 1.32608, 1.2918, 1.28266, 1.30693, 1.32946, 1.28873", \ + "1.70642, 1.66319, 1.63032, 1.61433, 1.64044, 1.63771, 1.69092" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.684273, 0.657011, 0.641755, 0.63836, 0.640212, 0.644131, 0.646978", \ + "0.681555, 0.654085, 0.637389, 0.63359, 0.636239, 0.640188, 0.643078", \ + "0.692823, 0.66442, 0.644107, 0.633567, 0.634079, 0.639083, 0.638257", \ + "0.735107, 0.701655, 0.683034, 0.657453, 0.656035, 0.655438, 0.659368", \ + "0.848711, 0.802296, 0.767915, 0.738784, 0.748189, 0.722921, 0.721475", \ + "1.11791, 1.05784, 0.994732, 0.956012, 0.932993, 0.917442, 0.913521", \ + "1.71735, 1.62752, 1.52646, 1.44619, 1.37995, 1.33284, 1.36081" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.961915, 0.917124, 0.882611, 0.867329, 0.861152, 0.858703, 0.857645", \ + "0.956549, 0.91184, 0.878228, 0.862673, 0.857182, 0.855667, 0.855189", \ + "0.968861, 0.924155, 0.886238, 0.869497, 0.863006, 0.860452, 0.8595", \ + "1.02057, 0.96724, 0.92258, 0.897725, 0.887643, 0.884306, 0.882389", \ + "1.15235, 1.08856, 1.02697, 0.988872, 0.970072, 0.960761, 0.959395", \ + "1.45316, 1.37321, 1.28363, 1.22085, 1.1801, 1.15804, 1.14857", \ + "2.07923, 1.98227, 1.85052, 1.73837, 1.6635, 1.61106, 1.58131" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.880239, 0.852555, 0.837906, 0.834388, 0.836131, 0.839947, 0.842738", \ + "0.879663, 0.8527, 0.836446, 0.832782, 0.835288, 0.839041, 0.841849", \ + "0.891126, 0.86125, 0.844207, 0.836765, 0.840018, 0.842227, 0.845164", \ + "0.931319, 0.897161, 0.873039, 0.858533, 0.85702, 0.859389, 0.860151", \ + "1.04484, 1.0008, 0.96414, 0.936822, 0.926094, 0.922083, 0.922914", \ + "1.3145, 1.25336, 1.19128, 1.14424, 1.11362, 1.09682, 1.09303", \ + "1.91412, 1.82324, 1.72284, 1.63837, 1.56899, 1.52088, 1.4936" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.766675, 0.721915, 0.687489, 0.672281, 0.666154, 0.663698, 0.662603", \ + "0.760773, 0.716, 0.682411, 0.666964, 0.661615, 0.660236, 0.659843", \ + "0.771662, 0.72344, 0.687354, 0.665809, 0.656614, 0.653718, 0.652603", \ + "0.824913, 0.772231, 0.726421, 0.701256, 0.68704, 0.680819, 0.681648", \ + "0.956417, 0.895807, 0.831618, 0.799073, 0.812981, 0.765915, 0.760282", \ + "1.25627, 1.1771, 1.09001, 1.02223, 0.986235, 0.972641, 0.953496", \ + "1.88413, 1.78453, 1.65119, 1.53985, 1.47521, 1.42814, 1.54884" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.54395; + rise_capacitance : 0.539387; + rise_capacitance_range (0.447984, 0.539387); + fall_capacitance : 0.54395; + fall_capacitance_range (0.436142, 0.54395); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.232978, 0.232383, 0.239891, 0.262688, 0.327788, 0.475712, 0.792908" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.383669, 0.38214, 0.392576, 0.424011, 0.498341, 0.661254, 1.00076" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.379211, 0.378131, 0.385136, 0.40817, 0.473881, 0.621629, 0.938601" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.238205, 0.236247, 0.247216, 0.278214, 0.352699, 0.515435, 0.855062" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.222283, 0.220772, 0.227866, 0.251444, 0.316618, 0.467517, 0.786794" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.398829, 0.397348, 0.407461, 0.438404, 0.511504, 0.673115, 1.01155" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37525, 0.373757, 0.38047, 0.404118, 0.46937, 0.620419, 0.939891" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.245731, 0.243966, 0.254363, 0.285219, 0.358387, 0.519769, 0.858546" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.65796; + rise_capacitance : 0.65796; + rise_capacitance_range (0.531448, 0.65796); + fall_capacitance : 0.654986; + fall_capacitance_range (0.533768, 0.654986); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.80035, -3.58544, -3.1869, -5.40283, -7.67107, -9.98831, -14.6228", \ + "-4.16249, -3.94758, -3.54904, -6.87459, -8.03321, -10.3505, -14.9849", \ + "-4.85824, -4.64333, -4.24479, -7.57034, -8.72896, -11.0462, -15.6807", \ + "-9.0625, -5.92065, -5.52211, -7.69531, -6.00878, -8.32602, -15.8203", \ + "-10.4044, -10.1895, -9.79095, -9.119, -10.2776, -8.59736, -13.2318", \ + "-10.9471, -10.7322, -10.3336, -9.66169, -10.8203, -13.1376, -17.772", \ + "-12.0325, -11.8176, -7.42153, -9.62891, -7.9082, -10.2254, -14.8599" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.706484, 1.57051, 3.232, 3.42041, 7.33986, 8.65553, 7.81373", \ + "0.0523701, 0.916395, 2.57789, 1.63715, 6.68574, 8.00142, 7.15961", \ + "-1.2332, -0.369171, 1.29232, 0.351579, 5.40018, 6.71585, 5.87405", \ + "-6.62109, -2.84966, -1.18816, -0.9375, 2.91969, 4.23536, 4.55079", \ + "-8.31206, -7.44804, -5.78654, -6.72729, -1.67869, -0.363016, 2.79268", \ + "-16.0585, -15.1944, -13.5329, -10.4762, -9.42509, -8.10942, -4.95372", \ + "-25.7498, -24.8858, -23.2243, -23.0469, -19.1164, -17.8008, -14.6451" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.9092, 11.7274, 11.4087, 12.0605, 13.6278, 21.2286, 37.6837", \ + "12.2869, 12.1051, 11.7864, 11.3286, 14.0055, 21.6063, 38.0614", \ + "13.0226, 12.8408, 12.5221, 12.0643, 14.7412, 22.3421, 34.7996", \ + "15.4858, 14.2335, 13.9148, 14.6094, 16.1339, 19.7372, 33.6494", \ + "20.8831, 16.7038, 16.3851, 15.9274, 18.6042, 22.2076, 30.6676", \ + "20.5662, 20.3844, 20.0657, 19.608, 18.2873, 21.8907, 30.3507", \ + "26.8845, 22.7052, 22.3865, 23.0469, 24.6055, 24.2114, 28.674" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1972, 10.4326, 8.95733, 3.35449, 1.61788, -1.22123, -1.81916", \ + "12.2524, 11.4878, 10.0126, 7.27808, 2.67312, -0.165993, -0.763921", \ + "14.3151, 9.55298, 8.07773, 9.34074, 4.73578, 1.89667, 1.29874", \ + "15.3418, 13.4871, 12.0118, 10.4688, 8.66989, 5.83077, 2.39257", \ + "21.355, 20.5904, 19.1151, 16.3806, 15.7732, 12.9341, 8.33864", \ + "28.5045, 27.7398, 26.2646, 27.5276, 22.9226, 20.0835, 19.4856", \ + "42.5574, 41.7928, 40.3175, 38.7012, 36.9756, 34.1364, 33.5385" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0591696, -0.0602601, -0.0611009, -0.0615488, -0.0617722, -0.062179, -0.0623695" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0665139, 0.0671166, 0.0666361, 0.0665097, 0.0667653, 0.0666413, 0.0661603" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.101677, 0.101394, 0.1011, 0.100147, 0.099504, 0.0990441, 0.0982358" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0930206, -0.0937812, -0.0938958, -0.0942569, -0.0945242, -0.0950649, -0.0946505" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (ICGx1_ASAP7_75t_L) { + area : 0.26244; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1509.51; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1475.46; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1620.69; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1586.63; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1611.9; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1577.84; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1744.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2088.49; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1677.26; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1788.43; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1779.64; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1561.95; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1668.5; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.90673, 9.52448, 12.5572, 18.4658, 30.217, 53.6866, 100.628", \ + "8.94977, 10.6002, 13.6193, 19.5287, 31.2835, 54.7623, 101.682", \ + "10.4344, 12.118, 15.2253, 21.1835, 32.9329, 56.4164, 103.346", \ + "12.8736, 14.625, 17.7413, 23.7381, 35.5927, 59.0916, 106.008", \ + "16.7291, 18.5921, 21.8561, 27.9095, 39.7859, 63.3128, 110.255", \ + "22.7971, 24.881, 28.3917, 34.6377, 46.6522, 70.1757, 117.402", \ + "32.6091, 34.9252, 38.8913, 45.6592, 58.2433, 82.5882, 130.076" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "5.10583, 8.15306, 14.3661, 26.9564, 52.3234, 103.166, 204.922", \ + "5.35291, 8.34086, 14.4906, 27.003, 52.3299, 103.159, 204.907", \ + "5.65509, 8.66421, 14.718, 27.1764, 52.4292, 103.169, 204.906", \ + "6.17611, 9.03738, 15.167, 27.4659, 52.5666, 103.3, 204.952", \ + "7.12668, 9.96621, 15.8043, 28.0221, 53.0698, 103.489, 205.08", \ + "8.71058, 11.5224, 17.2356, 29.285, 54.3689, 105.874, 205.505", \ + "11.1457, 14.0509, 19.8067, 31.6641, 56.255, 106.324, 208.646" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.96504, 9.60532, 12.668, 18.615, 30.3838, 53.852, 100.784", \ + "9.01534, 10.694, 13.7669, 19.724, 31.5004, 54.9673, 101.905", \ + "10.5139, 12.2266, 15.3665, 21.3548, 33.1325, 56.6053, 103.545", \ + "12.9843, 14.7537, 17.9268, 23.9159, 35.7455, 59.2315, 106.162", \ + "16.9464, 18.8773, 22.1715, 28.178, 39.9579, 63.4866, 110.408", \ + "23.3216, 25.4636, 28.9215, 34.8533, 46.6084, 70.0905, 117.103", \ + "33.6101, 35.951, 39.4125, 45.2144, 56.8533, 80.2105, 127.183" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "5.15886, 8.22556, 14.4571, 27.0323, 52.3655, 103.135, 204.859", \ + "5.40808, 8.40314, 14.5761, 27.072, 52.3686, 103.142, 204.843", \ + "5.73837, 8.7456, 14.7932, 27.2246, 52.4394, 103.143, 204.856", \ + "6.33896, 9.19604, 15.1355, 27.4752, 52.5402, 103.221, 204.868", \ + "7.44386, 10.2177, 15.9116, 27.8885, 52.9163, 103.329, 204.938", \ + "9.23965, 11.8262, 17.1177, 28.6534, 53.41, 105.154, 205.038", \ + "11.7571, 13.9934, 18.7587, 29.5992, 53.1979, 103.641, 205.893" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.08425, 9.91991, 13.3431, 19.9905, 33.1775, 59.4895, 112.077", \ + "8.73304, 10.6299, 14.0796, 20.7407, 33.9362, 60.2504, 112.834", \ + "9.38438, 11.2783, 14.8014, 21.579, 34.7983, 61.1213, 113.702", \ + "10.3346, 12.2072, 15.6812, 22.4567, 35.8607, 62.1848, 114.788", \ + "11.2849, 13.2317, 16.7758, 23.5644, 36.8854, 63.4349, 116.085", \ + "11.7254, 13.7865, 17.4014, 24.409, 37.8313, 64.4057, 117.504", \ + "10.3277, 12.6188, 16.4837, 23.7272, 37.7331, 64.9622, 118.116" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "6.1602, 9.78751, 17.1782, 32.1444, 62.2785, 122.683, 243.59", \ + "6.44656, 10.0321, 17.3527, 32.222, 62.2968, 122.71, 243.595", \ + "6.56343, 10.2509, 17.5917, 32.4471, 62.481, 122.729, 243.595", \ + "6.92313, 10.4355, 17.8668, 32.6563, 62.678, 122.95, 243.699", \ + "7.65571, 11.0979, 18.2645, 32.9913, 63.0977, 123.216, 243.935", \ + "9.01809, 12.3921, 19.638, 34.0262, 64.3939, 124.858, 244.697", \ + "11.2536, 14.6556, 21.5369, 36.1547, 66.1521, 125.999, 248.669" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.90673, 9.52448, 12.5572, 18.4658, 30.217, 53.6866, 100.628", \ + "8.94977, 10.6002, 13.6193, 19.5287, 31.2835, 54.7623, 101.682", \ + "10.4344, 12.118, 15.2253, 21.1835, 32.9329, 56.4164, 103.346", \ + "12.8736, 14.625, 17.7413, 23.7381, 35.5927, 59.0916, 106.008", \ + "16.7291, 18.5921, 21.8561, 27.9095, 39.7859, 63.3128, 110.255", \ + "22.7971, 24.881, 28.3917, 34.6377, 46.6522, 70.1757, 117.402", \ + "32.6091, 34.9252, 38.8913, 45.6592, 58.2433, 82.5882, 130.076" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "5.10583, 8.15306, 14.3661, 26.9564, 52.3234, 103.166, 204.922", \ + "5.35291, 8.34086, 14.4906, 27.003, 52.3299, 103.159, 204.907", \ + "5.65509, 8.66421, 14.718, 27.1764, 52.4292, 103.169, 204.906", \ + "6.17611, 9.03738, 15.167, 27.4659, 52.5666, 103.3, 204.952", \ + "7.12668, 9.96621, 15.8043, 28.0221, 53.0698, 103.489, 205.08", \ + "8.71058, 11.5224, 17.2356, 29.285, 54.3689, 105.874, 205.505", \ + "11.1457, 14.0509, 19.8067, 31.6641, 56.255, 106.324, 208.646" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.745358, 0.75013, 0.753646, 0.755571, 0.756445, 0.756659, 0.757002", \ + "0.749885, 0.756396, 0.761279, 0.764443, 0.76489, 0.765531, 0.767108", \ + "0.793858, 0.79911, 0.801582, 0.806163, 0.809829, 0.81008, 0.81106", \ + "0.920215, 0.921665, 0.924428, 0.927485, 0.929895, 0.931465, 0.932286", \ + "1.19771, 1.19557, 1.19504, 1.19696, 1.20002, 1.20046, 1.20357", \ + "1.7746, 1.76887, 1.76326, 1.76269, 1.76432, 1.76464, 1.76725", \ + "2.95733, 2.94249, 2.92823, 2.92011, 2.91632, 2.91492, 2.91725" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.00923561, 0.0143357, 0.0178013, 0.0197102, 0.0205815, 0.0207013, 0.0211495", \ + "0.0162438, 0.019527, 0.0213849, 0.0230997, 0.0245932, 0.0259718, 0.0248288", \ + "0.0612606, 0.0638983, 0.0672804, 0.0682533, 0.0692201, 0.0707434, 0.0708066", \ + "0.182108, 0.184374, 0.191128, 0.193078, 0.193413, 0.195133, 0.195565", \ + "0.457186, 0.455601, 0.461667, 0.466599, 0.467326, 0.465811, 0.46512", \ + "1.03452, 1.02836, 1.02478, 1.02301, 1.03746, 1.0685, 1.02768", \ + "2.21836, 2.20157, 2.19016, 2.18252, 2.1819, 2.18633, 2.2254" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.0312, 1.03587, 1.03938, 1.04129, 1.04213, 1.04253, 1.0426", \ + "1.03531, 1.04081, 1.04579, 1.04871, 1.04958, 1.0515, 1.05149", \ + "1.08374, 1.08735, 1.09018, 1.09489, 1.09629, 1.09837, 1.09895", \ + "1.2165, 1.21901, 1.22174, 1.22641, 1.2277, 1.23052, 1.23121", \ + "1.50603, 1.50442, 1.50566, 1.50482, 1.50796, 1.50836, 1.51003", \ + "2.02065, 2.01375, 2.00972, 2.00589, 2.00829, 2.00891, 2.00998", \ + "2.966, 2.95296, 2.94167, 2.92991, 2.92481, 2.92078, 2.92275" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.412242, 0.417085, 0.420414, 0.422237, 0.423034, 0.4234, 0.423473", \ + "0.420484, 0.423505, 0.4268, 0.42933, 0.43021, 0.430753, 0.431045", \ + "0.466363, 0.470094, 0.473382, 0.475929, 0.477979, 0.478393, 0.479014", \ + "0.600119, 0.600465, 0.603186, 0.606992, 0.609595, 0.610163, 0.610923", \ + "0.884089, 0.882041, 0.885805, 0.886046, 0.88981, 0.888899, 0.887978", \ + "1.40018, 1.39174, 1.39032, 1.38629, 1.40762, 1.44758, 1.39648", \ + "2.34377, 2.32937, 2.31939, 2.3111, 2.30514, 2.31589, 2.32898" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.49431; + rise_capacitance : 2.42903; + rise_capacitance_range (1.72407, 2.42903); + fall_capacitance : 2.49431; + fall_capacitance_range (1.809, 2.49431); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.893669, 0.896337, 0.918934, 0.984839, 1.1584, 1.60066, 2.62569" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.25764, 0.261232, 0.284419, 0.348689, 0.521384, 0.961769, 1.98777" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.198338, -0.19534, -0.180331, -0.137343, -0.0352596, 0.184099, 0.636865" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.433048, 0.438723, 0.460784, 0.516111, 0.628102, 0.863416, 1.33986" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340152, 0.34253, 0.357263, 0.401667, 0.502759, 0.724286, 1.1763" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.105136, -0.0999624, -0.076902, -0.0261301, 0.0876675, 0.321499, 0.799812" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.488559; + rise_capacitance : 0.488548; + rise_capacitance_range (0.41223, 0.488548); + fall_capacitance : 0.488559; + fall_capacitance_range (0.350775, 0.488559); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.67193, -9.39624, -8.8832, -10.9375, -9.3338, -11.9803, -17.2732", \ + "-10.4719, -10.1962, -9.68314, -8.81049, -10.1337, -12.7802, -18.0732", \ + "-12.0508, -11.7751, -11.2621, -14.3869, -15.7101, -14.3591, -19.6521", \ + "-18.1104, -14.8491, -14.3361, -16.3281, -18.7842, -21.4307, -25.5957", \ + "-20.9377, -20.662, -20.1489, -23.2738, -24.597, -23.246, -32.5365", \ + "-31.2225, -30.9468, -30.4337, -29.5611, -30.8843, -33.5308, -38.8238", \ + "-50.426, -50.1503, -45.6398, -47.6465, -50.0879, -52.7344, -58.0273" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.67193, -9.39624, -8.8832, -10.9375, -9.3338, -11.9803, -17.2732", \ + "-10.4719, -10.1962, -9.68314, -8.81049, -10.1337, -12.7802, -18.0732", \ + "-12.0508, -11.7751, -11.2621, -14.3869, -15.7101, -14.3591, -19.6521", \ + "-18.1104, -14.8491, -14.3361, -16.3281, -18.7842, -21.4307, -25.5957", \ + "-20.9377, -20.662, -20.1489, -23.2738, -24.597, -23.246, -32.5365", \ + "-31.2225, -30.9468, -30.4337, -29.5611, -30.8843, -33.5308, -38.8238", \ + "-50.426, -50.1503, -45.6398, -47.6465, -50.0879, -52.7344, -58.0273" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7244, 22.9345, 25.3035, 27.0186, 34.0808, 47.2766, 64.5092", \ + "22.4241, 23.6343, 26.0032, 30.5356, 34.7805, 47.9763, 65.2089", \ + "23.7567, 24.9669, 27.3358, 31.8682, 36.1131, 49.3089, 66.5415", \ + "23.1885, 23.3672, 25.7361, 32.0995, 38.5109, 51.7067, 66.1463", \ + "25.602, 26.8122, 29.1812, 33.7135, 37.9585, 51.1543, 68.3868", \ + "24.4971, 25.7073, 28.0763, 32.6087, 40.8511, 50.0494, 67.2819", \ + "22.2874, 23.4975, 25.8665, 27.5195, 34.6438, 47.8396, 65.0721" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.0996, 21.2842, 21.6587, 19.502, 20.0561, 23.643, 36.1642", \ + "22.3088, 22.4934, 22.868, 19.6407, 21.2654, 24.8523, 37.3735", \ + "24.6741, 24.8587, 25.2332, 22.0059, 23.6306, 27.2175, 39.7388", \ + "26.2061, 29.3762, 25.7533, 27.6562, 28.1482, 31.7351, 41.3867", \ + "33.3771, 33.5617, 33.9362, 34.7064, 36.3311, 35.9205, 44.4442", \ + "46.3347, 42.5218, 42.8964, 43.6666, 45.2913, 48.8782, 53.4044", \ + "62.6144, 62.7991, 63.1736, 61.0645, 61.571, 65.1579, 69.6841" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7244, 22.9345, 25.3035, 27.0186, 34.0808, 47.2766, 64.5092", \ + "22.4241, 23.6343, 26.0032, 30.5356, 34.7805, 47.9763, 65.2089", \ + "23.7567, 24.9669, 27.3358, 31.8682, 36.1131, 49.3089, 66.5415", \ + "23.1885, 23.3672, 25.7361, 32.0995, 38.5109, 51.7067, 66.1463", \ + "25.602, 26.8122, 29.1812, 33.7135, 37.9585, 51.1543, 68.3868", \ + "24.4971, 25.7073, 28.0763, 32.6087, 40.8511, 50.0494, 67.2819", \ + "22.2874, 23.4975, 25.8665, 27.5195, 34.6438, 47.8396, 65.0721" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.0996, 21.2842, 21.6587, 19.502, 20.0561, 23.643, 36.1642", \ + "22.3088, 22.4934, 22.868, 19.6407, 21.2654, 24.8523, 37.3735", \ + "24.6741, 24.8587, 25.2332, 22.0059, 23.6306, 27.2175, 39.7388", \ + "26.2061, 29.3762, 25.7533, 27.6562, 28.1482, 31.7351, 41.3867", \ + "33.3771, 33.5617, 33.9362, 34.7064, 36.3311, 35.9205, 44.4442", \ + "46.3347, 42.5218, 42.8964, 43.6666, 45.2913, 48.8782, 53.4044", \ + "62.6144, 62.7991, 63.1736, 61.0645, 61.571, 65.1579, 69.6841" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00021378, 0.00022998, 0.000280602, 0.000281157, 0.000333397, 0.000355709, 0.000369446" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000604949, 0.000536186, 0.000466223, 0.000460293, 0.000433442, 0.000456292, 0.000434005" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0775409, 0.0779223, 0.0776796, 0.0781394, 0.0781639, 0.0777728, 0.0774776" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.077054, -0.0763277, -0.0768276, -0.0771227, -0.0772507, -0.0767509, -0.0765201" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0390494, 0.0390454, 0.0431705, 0.0609652, 0.109112, 0.221421, 0.460373" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.23983, 0.23963, 0.246519, 0.270913, 0.32635, 0.448463, 0.703189" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.200526, 0.200811, 0.204573, 0.222235, 0.270747, 0.383082, 0.621793" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0775686, 0.0780261, 0.084446, 0.108527, 0.164321, 0.286191, 0.54087" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525424, 0.524047, 0.526484, 0.544064, 0.594521, 0.710663, 0.967279" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.617934, 0.617018, 0.623204, 0.648931, 0.707561, 0.837259, 1.11123" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.572584, 0.571096, 0.572866, 0.590818, 0.640573, 0.757593, 1.01415" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573074, 0.572655, 0.578776, 0.60465, 0.663305, 0.792489, 1.06582" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.490023; + rise_capacitance : 0.485807; + rise_capacitance_range (0.422235, 0.485807); + fall_capacitance : 0.490023; + fall_capacitance_range (0.416531, 0.490023); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -14.0445, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.91363, -9.6001, -9.0147, -10.9375, -9.33519, -11.9845, -17.283", \ + "-10.3742, -10.0607, -9.47532, -8.47117, -9.7958, -12.4451, -17.7436", \ + "-11.2902, -10.9766, -10.3913, -13.3846, -14.7092, -13.361, -18.6596", \ + "-16.0864, -12.7874, -12.202, -14.0625, -16.5199, -19.1692, -23.3398", \ + "-20.6352, -20.3216, -19.7362, -18.7321, -20.0567, -22.706, -28.0046", \ + "-27.3702, -27.0567, -26.4713, -25.4671, -26.7918, -29.441, -34.7396", \ + "-35.4886, -35.1751, -34.5897, -36.4649, -38.9076, -37.5594, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -14.0445, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.91363, -9.6001, -9.0147, -10.9375, -9.33519, -11.9845, -17.283", \ + "-10.3742, -10.0607, -9.47532, -8.47117, -9.7958, -12.4451, -17.7436", \ + "-11.2902, -10.9766, -10.3913, -13.3846, -14.7092, -13.361, -18.6596", \ + "-16.0864, -12.7874, -12.202, -14.0625, -16.5199, -19.1692, -23.3398", \ + "-20.6352, -20.3216, -19.7362, -18.7321, -20.0567, -22.706, -28.0046", \ + "-27.3702, -27.0567, -26.4713, -25.4671, -26.7918, -29.441, -34.7396", \ + "-35.4886, -35.1751, -34.5897, -36.4649, -38.9076, -37.5594, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.9737, 20.8264, 22.5169, 27.0186, 36.2405, 48.0968, 63.9998", \ + "20.6315, 21.4842, 27.1722, 30.4936, 36.8983, 48.7545, 64.6575", \ + "21.8958, 26.746, 28.4365, 31.7579, 38.1626, 50.0189, 65.9219", \ + "25.2515, 25.0727, 26.7632, 31.7317, 40.4868, 52.343, 69.6296", \ + "28.0503, 28.903, 30.5935, 33.9149, 40.3196, 52.1759, 72.0764", \ + "29.8921, 30.7448, 32.4353, 35.7568, 42.1614, 54.0177, 69.9207", \ + "28.1275, 28.9802, 30.6707, 35.9922, 40.3968, 52.2531, 72.1536" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.3413, 21.488, 21.7902, 19.502, 19.846, 27.2217, 36.174", \ + "22.2112, 22.358, 22.6602, 19.3013, 20.7159, 28.0916, 37.0439", \ + "23.9135, 24.0603, 24.3624, 21.0036, 22.4182, 25.7964, 38.7462", \ + "24.1821, 27.3145, 23.6191, 25.3906, 25.6724, 29.0506, 39.1309", \ + "29.0771, 29.2239, 29.5261, 30.1647, 31.5793, 34.9575, 43.9098", \ + "38.485, 38.6318, 38.9339, 35.5751, 36.9897, 40.3679, 49.3202", \ + "51.6745, 51.8213, 52.1235, 49.8828, 50.1792, 53.5574, 58.5123" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.9737, 20.8264, 22.5169, 27.0186, 36.2405, 48.0968, 63.9998", \ + "20.6315, 21.4842, 27.1722, 30.4936, 36.8983, 48.7545, 64.6575", \ + "21.8958, 26.746, 28.4365, 31.7579, 38.1626, 50.0189, 65.9219", \ + "25.2515, 25.0727, 26.7632, 31.7317, 40.4868, 52.343, 69.6296", \ + "28.0503, 28.903, 30.5935, 33.9149, 40.3196, 52.1759, 72.0764", \ + "29.8921, 30.7448, 32.4353, 35.7568, 42.1614, 54.0177, 69.9207", \ + "28.1275, 28.9802, 30.6707, 35.9922, 40.3968, 52.2531, 72.1536" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.3413, 21.488, 21.7902, 19.502, 19.846, 27.2217, 36.174", \ + "22.2112, 22.358, 22.6602, 19.3013, 20.7159, 28.0916, 37.0439", \ + "23.9135, 24.0603, 24.3624, 21.0036, 22.4182, 25.7964, 38.7462", \ + "24.1821, 27.3145, 23.6191, 25.3906, 25.6724, 29.0506, 39.1309", \ + "29.0771, 29.2239, 29.5261, 30.1647, 31.5793, 34.9575, 43.9098", \ + "38.485, 38.6318, 38.9339, 35.5751, 36.9897, 40.3679, 49.3202", \ + "51.6745, 51.8213, 52.1235, 49.8828, 50.1792, 53.5574, 58.5123" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0323283, -0.033494, -0.0340202, -0.0344081, -0.0345961, -0.0342611, -0.0343495" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0370612, 0.0374301, 0.0375514, 0.0375763, 0.0376005, 0.0374169, 0.0372268" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.079529, 0.0798688, 0.0796607, 0.0795305, 0.0792728, 0.078001, 0.0777003" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0760177, -0.0762898, -0.0765974, -0.0770082, -0.0766954, -0.0765209, -0.076193" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0360896, 0.0368609, 0.0429943, 0.0631715, 0.117028, 0.242175, 0.508284" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.27547, 0.273183, 0.279411, 0.302041, 0.361812, 0.497565, 0.781968" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.24072, 0.241513, 0.247904, 0.267863, 0.321498, 0.446866, 0.711986" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0686641, 0.0665729, 0.0722182, 0.0951716, 0.154575, 0.29064, 0.574913" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521589, 0.521081, 0.526375, 0.545993, 0.601711, 0.732283, 1.01747" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.653804, 0.650834, 0.656286, 0.679967, 0.742741, 0.885065, 1.18649" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611482, 0.611016, 0.615864, 0.635866, 0.691599, 0.822399, 1.10722" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564451, 0.561581, 0.566198, 0.591355, 0.653543, 0.795531, 1.09693" \ + ); + } + } + } + } + cell (ICGx2_ASAP7_75t_L) { + area : 0.27702; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1730.19; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1803.73; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1841.36; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1914.9; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1832.57; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1906.11; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1964.87; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2416.76; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1897.93; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2009.11; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2000.32; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1782.62; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1925.04; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.01219, 10.7705, 13.9131, 19.9065, 31.7532, 55.3997, 102.645", \ + "10.2489, 12.0057, 15.1509, 21.14, 32.9865, 56.6328, 103.872", \ + "12.0806, 13.8961, 17.1045, 23.1245, 34.9718, 58.6121, 105.871", \ + "14.9144, 16.8057, 20.0657, 26.1306, 38.0259, 61.6773, 108.922", \ + "19.3989, 21.4053, 24.7653, 30.8962, 42.789, 66.521, 113.77", \ + "26.3516, 28.5251, 32.1784, 38.5277, 50.546, 74.3021, 121.703", \ + "37.4311, 39.883, 43.9915, 50.8176, 63.3725, 87.6671, 135.227" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.32203, 8.40895, 14.6777, 27.4592, 53.3264, 105.326, 209.508", \ + "5.5243, 8.54956, 14.7513, 27.4926, 53.3341, 105.326, 209.502", \ + "5.97424, 8.97363, 15.0717, 27.6894, 53.4307, 105.349, 209.51", \ + "6.58614, 9.50318, 15.5261, 28.048, 53.6023, 105.458, 209.525", \ + "7.70608, 10.5832, 16.3961, 28.598, 54.2906, 105.637, 209.662", \ + "9.5615, 12.4181, 18.1344, 30.0601, 54.9982, 106.813, 210.064", \ + "12.5614, 15.3913, 21.2107, 32.8786, 57.5112, 108.478, 212.328" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.10928, 10.8833, 14.0287, 20.0192, 31.8661, 55.5012, 102.741", \ + "10.3674, 12.1245, 15.2702, 21.2468, 33.0952, 56.7331, 103.975", \ + "12.2223, 14.0525, 17.2372, 23.2367, 35.0674, 58.6902, 105.959", \ + "15.1298, 17.0163, 20.2267, 26.2222, 38.0988, 61.7396, 108.967", \ + "19.8111, 21.7513, 24.9673, 30.92, 42.7624, 66.4191, 113.692", \ + "26.9572, 28.9451, 32.1006, 37.9967, 49.7521, 73.3406, 120.613", \ + "37.739, 39.5969, 42.6213, 48.4673, 60.1245, 83.6634, 131.037" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.39743, 8.44776, 14.6856, 27.4208, 53.2661, 105.242, 209.371", \ + "5.58791, 8.57519, 14.7417, 27.4339, 53.2568, 105.242, 209.383", \ + "6.04665, 8.98171, 15.0147, 27.5812, 53.3033, 105.24, 209.39", \ + "6.73873, 9.53021, 15.3973, 27.8207, 53.3883, 105.289, 209.394", \ + "7.8929, 10.4877, 16.0662, 28.1323, 53.8538, 105.33, 209.475", \ + "9.2964, 11.6386, 16.7973, 28.4724, 53.6791, 105.785, 209.517", \ + "10.6113, 12.71, 17.4588, 28.7885, 53.6403, 105.671, 210.464" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.21809, 11.2028, 14.7513, 21.4901, 34.7947, 61.3277, 114.33", \ + "10.1977, 12.1638, 15.7224, 22.4755, 35.7925, 62.3294, 115.336", \ + "11.2074, 13.2035, 16.8486, 23.6778, 36.9968, 63.5339, 116.542", \ + "12.6083, 14.5684, 18.2206, 25.0155, 38.4796, 65.0583, 118.046", \ + "14.1984, 16.2197, 19.8349, 26.6865, 40.1173, 66.9459, 119.896", \ + "15.5287, 17.6976, 21.4181, 28.3344, 41.867, 68.6445, 121.792", \ + "15.3328, 17.7326, 21.7322, 28.9125, 42.8198, 70.0458, 123.453" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.31544, 9.98967, 17.4342, 32.5609, 63.1293, 124.556, 247.627", \ + "6.54502, 10.1826, 17.5392, 32.6091, 63.144, 124.56, 247.609", \ + "6.79104, 10.5375, 17.8342, 32.8495, 63.3008, 124.595, 247.627", \ + "7.20232, 10.7577, 18.156, 33.2257, 63.4957, 124.823, 247.702", \ + "8.10016, 11.5257, 18.6383, 33.576, 63.9433, 125.132, 247.971", \ + "9.71282, 13.0674, 20.0705, 34.6155, 64.7384, 125.972, 248.354", \ + "12.3397, 15.7129, 22.4987, 36.8645, 66.9397, 127.324, 251.484" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.01219, 10.7705, 13.9131, 19.9065, 31.7532, 55.3997, 102.645", \ + "10.2489, 12.0057, 15.1509, 21.14, 32.9865, 56.6328, 103.872", \ + "12.0806, 13.8961, 17.1045, 23.1245, 34.9718, 58.6121, 105.871", \ + "14.9144, 16.8057, 20.0657, 26.1306, 38.0259, 61.6773, 108.922", \ + "19.3989, 21.4053, 24.7653, 30.8962, 42.789, 66.521, 113.77", \ + "26.3516, 28.5251, 32.1784, 38.5277, 50.546, 74.3021, 121.703", \ + "37.4311, 39.883, 43.9915, 50.8176, 63.3725, 87.6671, 135.227" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.32203, 8.40895, 14.6777, 27.4592, 53.3264, 105.326, 209.508", \ + "5.5243, 8.54956, 14.7513, 27.4926, 53.3341, 105.326, 209.502", \ + "5.97424, 8.97363, 15.0717, 27.6894, 53.4307, 105.349, 209.51", \ + "6.58614, 9.50318, 15.5261, 28.048, 53.6023, 105.458, 209.525", \ + "7.70608, 10.5832, 16.3961, 28.598, 54.2906, 105.637, 209.662", \ + "9.5615, 12.4181, 18.1344, 30.0601, 54.9982, 106.813, 210.064", \ + "12.5614, 15.3913, 21.2107, 32.8786, 57.5112, 108.478, 212.328" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.909306, 0.9183, 0.925463, 0.930354, 0.932726, 0.933879, 0.934417", \ + "0.911836, 0.918781, 0.92637, 0.932755, 0.936598, 0.938195, 0.93882", \ + "0.950797, 0.955015, 0.961093, 0.968683, 0.974542, 0.974302, 0.977798", \ + "1.07446, 1.07258, 1.07499, 1.07992, 1.08459, 1.08927, 1.09195", \ + "1.35627, 1.34816, 1.34499, 1.34316, 1.3486, 1.35022, 1.35261", \ + "1.95593, 1.93024, 1.91497, 1.90937, 1.90708, 1.90737, 1.91068", \ + "3.18284, 3.13456, 3.10036, 3.07532, 3.05515, 3.05678, 3.05356" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.174526, 0.183118, 0.190812, 0.195824, 0.198271, 0.199553, 0.200007", \ + "0.176399, 0.182983, 0.1896, 0.193313, 0.19501, 0.19635, 0.196787", \ + "0.218231, 0.220981, 0.227466, 0.229909, 0.231535, 0.235978, 0.234398", \ + "0.338035, 0.339853, 0.343378, 0.351563, 0.348725, 0.351093, 0.351427", \ + "0.618771, 0.610115, 0.606363, 0.6177, 0.632278, 0.616866, 0.619151", \ + "1.21635, 1.1922, 1.17759, 1.16445, 1.16506, 1.19458, 1.18142", \ + "2.44397, 2.39492, 2.36546, 2.33272, 2.32183, 2.34593, 2.36817" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.19624, 1.20622, 1.21342, 1.21831, 1.21973, 1.22065, 1.22105", \ + "1.19908, 1.20543, 1.21225, 1.21918, 1.22334, 1.22497, 1.22527", \ + "1.24121, 1.24657, 1.25236, 1.25802, 1.26299, 1.26641, 1.26819", \ + "1.37452, 1.37363, 1.37513, 1.38056, 1.38548, 1.38866, 1.38923", \ + "1.66999, 1.65885, 1.65699, 1.65359, 1.6579, 1.65871, 1.66204", \ + "2.19877, 2.17993, 2.16439, 2.15423, 2.15307, 2.15257, 2.15529", \ + "3.15759, 3.13101, 3.10069, 3.08288, 3.06937, 3.06351, 3.06314" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.578489, 0.585813, 0.593117, 0.598161, 0.601909, 0.603052, 0.60411", \ + "0.581281, 0.586402, 0.594775, 0.597125, 0.598856, 0.600288, 0.600507", \ + "0.625758, 0.630171, 0.635533, 0.641462, 0.642877, 0.642376, 0.644256", \ + "0.756013, 0.75407, 0.759642, 0.767245, 0.769607, 0.771694, 0.773395", \ + "1.05137, 1.0409, 1.0368, 1.03603, 1.06265, 1.04055, 1.04567", \ + "1.57536, 1.55895, 1.54271, 1.5367, 1.54706, 1.55692, 1.5412", \ + "2.53385, 2.50737, 2.47762, 2.47252, 2.4619, 2.4624, 2.5191" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.48935; + rise_capacitance : 2.42395; + rise_capacitance_range (1.72191, 2.42395); + fall_capacitance : 2.48935; + fall_capacitance_range (1.80684, 2.48935); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.54492, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.892815, 0.896315, 0.918603, 0.984431, 1.1581, 1.60041, 2.62583" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258653, 0.261234, 0.284305, 0.34855, 0.521388, 0.961814, 1.98825" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.197589, -0.195012, -0.180023, -0.13723, -0.0355389, 0.184144, 0.636861" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.43272, 0.439091, 0.460904, 0.516333, 0.628404, 0.863491, 1.34018" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341716, 0.342141, 0.356349, 0.401037, 0.503774, 0.72409, 1.17602" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.10476, -0.0994925, -0.0767523, -0.0256731, 0.0882236, 0.321934, 0.800403" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.488433; + rise_capacitance : 0.488427; + rise_capacitance_range (0.411146, 0.488427); + fall_capacitance : 0.488433; + fall_capacitance_range (0.350862, 0.488433); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.89557, -8.74134, -8.46069, -10.9375, -9.3338, -12.4202, -17.2732", \ + "-9.6917, -9.53747, -9.25682, -12.8042, -10.1299, -13.2163, -18.0694", \ + "-15.2643, -15.1101, -14.8294, -14.3793, -15.7025, -14.7914, -19.6445", \ + "-17.3145, -18.1917, -17.9111, -16.3281, -18.7842, -17.8731, -25.5957", \ + "-24.2349, -24.0806, -23.8, -23.3498, -24.6731, -23.762, -32.6125", \ + "-30.9177, -30.7635, -30.4828, -30.0327, -31.3559, -34.4423, -39.2954", \ + "-47.8885, -47.7343, -47.4536, -49.8828, -48.3267, -51.4131, -56.2662" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.89557, -8.74134, -8.46069, -10.9375, -9.3338, -12.4202, -17.2732", \ + "-9.6917, -9.53747, -9.25682, -12.8042, -10.1299, -13.2163, -18.0694", \ + "-15.2643, -15.1101, -14.8294, -14.3793, -15.7025, -14.7914, -19.6445", \ + "-17.3145, -18.1917, -17.9111, -16.3281, -18.7842, -17.8731, -25.5957", \ + "-24.2349, -24.0806, -23.8, -23.3498, -24.6731, -23.762, -32.6125", \ + "-30.9177, -30.7635, -30.4828, -30.0327, -31.3559, -34.4423, -39.2954", \ + "-47.8885, -47.7343, -47.4536, -49.8828, -48.3267, -51.4131, -56.2662" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.6801, 22.8975, 25.28, 27.0186, 34.1133, 47.3161, 64.331", \ + "22.3869, 23.6043, 25.9868, 30.5426, 34.82, 48.0228, 65.0377", \ + "23.7328, 24.9502, 27.3327, 31.8885, 36.1659, 49.3687, 66.3836", \ + "23.1885, 27.3719, 25.7569, 32.188, 38.5876, 51.7904, 65.9678", \ + "25.5957, 26.8131, 29.1956, 33.7515, 38.0289, 51.2317, 68.2466", \ + "24.4782, 25.6956, 28.0781, 32.6339, 40.9088, 50.1141, 67.1291", \ + "22.2431, 23.4605, 25.843, 27.5195, 34.6763, 47.8791, 64.894" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.5034, 18.4708, 18.4739, 19.502, 22.8312, 25.1958, 36.1642", \ + "23.7126, 23.6776, 19.6832, 19.6407, 24.0405, 26.405, 37.3735", \ + "26.0779, 22.0454, 22.0485, 22.0059, 26.4058, 28.7703, 39.7388", \ + "27.6294, 26.5629, 26.566, 27.6562, 26.9258, 33.2878, 41.3867", \ + "34.7809, 34.7459, 34.749, 34.7064, 35.1088, 37.4733, 44.4442", \ + "43.741, 43.706, 43.7091, 43.6666, 44.0689, 46.4334, 53.4044", \ + "64.0183, 63.9832, 59.9888, 61.0645, 60.3486, 62.7132, 69.6841" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.6801, 22.8975, 25.28, 27.0186, 34.1133, 47.3161, 64.331", \ + "22.3869, 23.6043, 25.9868, 30.5426, 34.82, 48.0228, 65.0377", \ + "23.7328, 24.9502, 27.3327, 31.8885, 36.1659, 49.3687, 66.3836", \ + "23.1885, 27.3719, 25.7569, 32.188, 38.5876, 51.7904, 65.9678", \ + "25.5957, 26.8131, 29.1956, 33.7515, 38.0289, 51.2317, 68.2466", \ + "24.4782, 25.6956, 28.0781, 32.6339, 40.9088, 50.1141, 67.1291", \ + "22.2431, 23.4605, 25.843, 27.5195, 34.6763, 47.8791, 64.894" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.5034, 18.4708, 18.4739, 19.502, 22.8312, 25.1958, 36.1642", \ + "23.7126, 23.6776, 19.6832, 19.6407, 24.0405, 26.405, 37.3735", \ + "26.0779, 22.0454, 22.0485, 22.0059, 26.4058, 28.7703, 39.7388", \ + "27.6294, 26.5629, 26.566, 27.6562, 26.9258, 33.2878, 41.3867", \ + "34.7809, 34.7459, 34.749, 34.7064, 35.1088, 37.4733, 44.4442", \ + "43.741, 43.706, 43.7091, 43.6666, 44.0689, 46.4334, 53.4044", \ + "64.0183, 63.9832, 59.9888, 61.0645, 60.3486, 62.7132, 69.6841" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000275428, 0.000285519, 0.000337109, 0.000337943, 0.000390634, 0.000413231, 0.000427096" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00066481, 0.000633195, 0.000524951, 0.000518133, 0.000491417, 0.000514235, 0.00049194" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0775509, 0.0779801, 0.0777409, 0.078196, 0.078223, 0.077832, 0.0775371" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0769974, -0.076151, -0.0767719, -0.077061, -0.0771938, -0.0766942, -0.0764638" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0393123, 0.0391296, 0.0432655, 0.0610917, 0.10924, 0.221555, 0.460458" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.239853, 0.239409, 0.246507, 0.270885, 0.326609, 0.448405, 0.703158" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.201203, 0.200818, 0.2046, 0.222217, 0.270759, 0.383133, 0.621688" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0776552, 0.0778235, 0.0844981, 0.108566, 0.164121, 0.286209, 0.540899" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525403, 0.523521, 0.526425, 0.544071, 0.594479, 0.710626, 0.967244" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618284, 0.617374, 0.623523, 0.648507, 0.707861, 0.837561, 1.11151" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.57249, 0.570587, 0.572797, 0.590796, 0.640514, 0.75754, 1.01409" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573423, 0.572945, 0.57909, 0.604404, 0.663596, 0.792783, 1.0661" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.490973; + rise_capacitance : 0.485763; + rise_capacitance_range (0.422248, 0.485763); + fall_capacitance : 0.490973; + fall_capacitance_range (0.416606, 0.490973); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -14.0445, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.0982, -8.91226, -8.57093, -10.9375, -9.33519, -12.0693, -17.283", \ + "-9.55882, -9.37287, -9.03155, -12.4687, -9.7958, -12.53, -17.7436", \ + "-10.4747, -10.2888, -9.94748, -13.3846, -14.7092, -13.4459, -18.6596", \ + "-15.2515, -16.097, -15.7557, -14.0625, -16.5199, -19.2541, -23.3398", \ + "-19.8197, -19.6338, -19.2925, -18.7321, -20.0567, -22.7909, -28.0046", \ + "-26.5548, -26.3688, -26.0275, -25.4671, -26.7918, -29.5259, -34.7396", \ + "-38.6707, -38.4847, -38.1434, -36.4649, -38.9076, -41.6418, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -14.0445, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.0982, -8.91226, -8.57093, -10.9375, -9.33519, -12.0693, -17.283", \ + "-9.55882, -9.37287, -9.03155, -12.4687, -9.7958, -12.53, -17.7436", \ + "-10.4747, -10.2888, -9.94748, -13.3846, -14.7092, -13.4459, -18.6596", \ + "-15.2515, -16.097, -15.7557, -14.0625, -16.5199, -19.2541, -23.3398", \ + "-19.8197, -19.6338, -19.2925, -18.7321, -20.0567, -22.7909, -28.0046", \ + "-26.5548, -26.3688, -26.0275, -25.4671, -26.7918, -29.5259, -34.7396", \ + "-38.6707, -38.4847, -38.1434, -36.4649, -38.9076, -41.6418, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.9844, 20.8379, 26.5224, 27.0186, 36.2209, 48.0232, 63.7594", \ + "24.64, 25.4911, 27.1781, 30.4915, 36.8766, 48.6789, 64.415", \ + "25.9004, 26.7515, 28.4384, 31.7519, 38.1369, 49.9392, 65.6754", \ + "25.2515, 25.071, 26.758, 31.7055, 40.454, 52.2563, 69.3253", \ + "28.0393, 28.8903, 30.5773, 33.8908, 40.2758, 52.0781, 71.8118", \ + "29.8978, 30.7488, 32.4358, 35.7493, 42.1343, 53.9366, 69.6728", \ + "28.1407, 28.9917, 30.6787, 35.9922, 40.3772, 52.1795, 71.9132" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.706, 22.6393, 18.5354, 19.502, 22.6551, 24.845, 36.174", \ + "23.5759, 19.5117, 19.4054, 19.3013, 23.5251, 25.7149, 37.0439", \ + "25.2782, 21.214, 21.1077, 21.0036, 25.2274, 27.4172, 38.7462", \ + "25.5664, 24.4682, 24.3619, 25.3906, 24.484, 30.6714, 39.1309", \ + "30.4418, 30.3751, 30.2688, 30.1647, 30.391, 32.5808, 43.9098", \ + "39.8497, 39.783, 35.6792, 35.5751, 39.7988, 41.9887, 49.3202", \ + "53.0393, 48.975, 48.8687, 49.8828, 48.9909, 51.1807, 58.5123" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.9844, 20.8379, 26.5224, 27.0186, 36.2209, 48.0232, 63.7594", \ + "24.64, 25.4911, 27.1781, 30.4915, 36.8766, 48.6789, 64.415", \ + "25.9004, 26.7515, 28.4384, 31.7519, 38.1369, 49.9392, 65.6754", \ + "25.2515, 25.071, 26.758, 31.7055, 40.454, 52.2563, 69.3253", \ + "28.0393, 28.8903, 30.5773, 33.8908, 40.2758, 52.0781, 71.8118", \ + "29.8978, 30.7488, 32.4358, 35.7493, 42.1343, 53.9366, 69.6728", \ + "28.1407, 28.9917, 30.6787, 35.9922, 40.3772, 52.1795, 71.9132" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.706, 22.6393, 18.5354, 19.502, 22.6551, 24.845, 36.174", \ + "23.5759, 19.5117, 19.4054, 19.3013, 23.5251, 25.7149, 37.0439", \ + "25.2782, 21.214, 21.1077, 21.0036, 25.2274, 27.4172, 38.7462", \ + "25.5664, 24.4682, 24.3619, 25.3906, 24.484, 30.6714, 39.1309", \ + "30.4418, 30.3751, 30.2688, 30.1647, 30.391, 32.5808, 43.9098", \ + "39.8497, 39.783, 35.6792, 35.5751, 39.7988, 41.9887, 49.3202", \ + "53.0393, 48.975, 48.8687, 49.8828, 48.9909, 51.1807, 58.5123" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.032247, -0.0334402, -0.0339672, -0.0343034, -0.0345443, -0.0342126, -0.0342984" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0373261, 0.0375213, 0.0377385, 0.0378039, 0.0372978, 0.0374836, 0.0372946" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0793466, 0.0799185, 0.0797091, 0.0790634, 0.0793197, 0.0781604, 0.0777474" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0762344, -0.076256, -0.0766841, -0.0767158, -0.0761117, -0.0764506, -0.0761226" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0362037, 0.0369797, 0.0431038, 0.0633537, 0.117156, 0.242307, 0.508422" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.275299, 0.273136, 0.278258, 0.301644, 0.361709, 0.498315, 0.781625" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240764, 0.241571, 0.247942, 0.268005, 0.321552, 0.446936, 0.712051" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0690752, 0.0662738, 0.0718555, 0.0948712, 0.154588, 0.291034, 0.574763" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521445, 0.521123, 0.526349, 0.545977, 0.601656, 0.732251, 1.01747" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.653926, 0.651186, 0.656684, 0.679961, 0.743049, 0.885835, 1.18651" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611703, 0.610799, 0.615836, 0.635632, 0.691572, 0.822365, 1.10737" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564723, 0.561683, 0.566718, 0.590596, 0.653821, 0.796222, 1.09708" \ + ); + } + } + } + } + cell (ICGx2p67DC_ASAP7_75t_L) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 4011.81; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4031.11; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4122.99; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4142.29; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4114.2; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4133.5; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4246.37; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4644.25; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4369.67; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4480.85; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4472.06; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2984.6; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4146.14; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.94334, 9.20075, 11.5157, 15.97, 24.7796, 42.3649, 77.5121", \ + "8.96874, 10.2586, 12.5944, 17.0574, 25.8807, 43.4621, 78.6043", \ + "10.4514, 11.7519, 14.1464, 18.6926, 27.5274, 45.1136, 80.2582", \ + "12.8515, 14.2104, 16.6391, 21.184, 30.0866, 47.7256, 82.8795", \ + "16.6516, 18.1333, 20.6922, 25.3426, 34.2723, 51.9431, 87.227", \ + "22.6528, 24.2988, 27.0792, 31.9312, 41.0546, 58.8883, 94.1624", \ + "32.2918, 34.1789, 37.3384, 42.7057, 52.3868, 70.9535, 106.819" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.61565, 6.87147, 11.482, 20.8437, 39.7183, 77.6234, 153.462", \ + "4.86445, 7.06806, 11.6201, 20.905, 39.729, 77.6202, 153.456", \ + "5.15071, 7.34974, 11.8597, 21.0899, 39.8527, 77.6601, 153.453", \ + "5.69713, 7.83859, 12.1884, 21.3971, 40.0071, 77.7569, 153.534", \ + "6.63967, 8.74353, 13.0566, 21.9503, 40.4389, 77.9827, 153.724", \ + "8.13382, 10.2879, 14.5249, 23.3479, 41.5224, 79.3554, 154.536", \ + "10.5114, 12.7451, 17.0547, 25.8094, 43.9746, 81.2392, 156.099" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.95527, 9.21824, 11.5372, 16.0065, 24.8357, 42.4342, 77.5731", \ + "8.97544, 10.2719, 12.6094, 17.086, 25.926, 43.5206, 78.6642", \ + "10.4592, 11.7945, 14.1972, 18.7377, 27.5852, 45.1892, 80.3453", \ + "12.9012, 14.2768, 16.7162, 21.273, 30.1887, 47.8296, 82.9784", \ + "16.7277, 18.2266, 20.7767, 25.4768, 34.3948, 52.0569, 87.2702", \ + "22.7951, 24.4558, 27.3201, 32.2305, 41.3325, 59.0401, 94.2735", \ + "32.6644, 34.5967, 37.8027, 43.2252, 52.5671, 70.2914, 105.475" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.61346, 6.86818, 11.4796, 20.8347, 39.7181, 77.6021, 153.444", \ + "4.86472, 7.06685, 11.622, 20.9039, 39.7325, 77.6173, 153.437", \ + "5.17312, 7.34714, 11.8616, 21.0926, 39.8612, 77.6614, 153.442", \ + "5.72038, 7.87812, 12.2151, 21.365, 40.0019, 77.741, 153.497", \ + "6.711, 8.82322, 13.1338, 21.9949, 40.3798, 77.941, 153.613", \ + "8.31326, 10.4904, 14.7154, 23.4074, 41.3687, 78.9067, 154.238", \ + "10.8667, 13.1244, 17.406, 25.7454, 43.0784, 79.5145, 154.299" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.08513, 9.51064, 12.1403, 17.1606, 27.063, 46.7979, 86.2181", \ + "8.70265, 10.1987, 12.8628, 17.8956, 27.8079, 47.5446, 86.9737", \ + "9.38311, 10.8322, 13.5262, 18.7023, 28.6648, 48.4033, 87.8357", \ + "10.2921, 11.7534, 14.4322, 19.5825, 29.6394, 49.5125, 88.95", \ + "11.2077, 12.7333, 15.4624, 20.624, 30.6815, 50.598, 90.1876", \ + "11.5351, 13.1885, 15.9681, 21.359, 31.5724, 51.649, 91.3057", \ + "10.0107, 11.8458, 14.9704, 20.5104, 31.1277, 51.8234, 92.0391" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.59515, 8.28859, 13.7862, 24.9521, 47.4797, 92.7024, 183.249", \ + "5.87803, 8.53769, 13.9762, 25.0681, 47.5078, 92.707, 183.248", \ + "5.99208, 8.68496, 14.2046, 25.2833, 47.7254, 92.8167, 183.254", \ + "6.37692, 8.95819, 14.3797, 25.5786, 47.8888, 93.0324, 183.448", \ + "7.13558, 9.6763, 14.9483, 25.8874, 48.3159, 93.2861, 183.628", \ + "8.4653, 10.9747, 16.2363, 27.0016, 49.221, 95.0144, 184.978", \ + "10.6776, 13.2377, 18.351, 29.1765, 51.3162, 96.105, 187.991" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.94334, 9.20075, 11.5157, 15.97, 24.7796, 42.3649, 77.5121", \ + "8.96874, 10.2586, 12.5944, 17.0574, 25.8807, 43.4621, 78.6043", \ + "10.4514, 11.7519, 14.1464, 18.6926, 27.5274, 45.1136, 80.2582", \ + "12.8515, 14.2104, 16.6391, 21.184, 30.0866, 47.7256, 82.8795", \ + "16.6516, 18.1333, 20.6922, 25.3426, 34.2723, 51.9431, 87.227", \ + "22.6528, 24.2988, 27.0792, 31.9312, 41.0546, 58.8883, 94.1624", \ + "32.2918, 34.1789, 37.3384, 42.7057, 52.3868, 70.9535, 106.819" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.61565, 6.87147, 11.482, 20.8437, 39.7183, 77.6234, 153.462", \ + "4.86445, 7.06806, 11.6201, 20.905, 39.729, 77.6202, 153.456", \ + "5.15071, 7.34974, 11.8597, 21.0899, 39.8527, 77.6601, 153.453", \ + "5.69713, 7.83859, 12.1884, 21.3971, 40.0071, 77.7569, 153.534", \ + "6.63967, 8.74353, 13.0566, 21.9503, 40.4389, 77.9827, 153.724", \ + "8.13382, 10.2879, 14.5249, 23.3479, 41.5224, 79.3554, 154.536", \ + "10.5114, 12.7451, 17.0547, 25.8094, 43.9746, 81.2392, 156.099" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.10934, 2.1226, 2.13438, 2.14142, 2.1447, 2.14582, 2.14643", \ + "2.1299, 2.14336, 2.16006, 2.17118, 2.17467, 2.1756, 2.18014", \ + "2.27625, 2.28737, 2.29683, 2.30885, 2.31826, 2.32659, 2.32825", \ + "2.67452, 2.67978, 2.68937, 2.70107, 2.70987, 2.71445, 2.72162", \ + "3.55659, 3.55742, 3.55961, 3.56534, 3.57477, 3.58428, 3.59223", \ + "5.39985, 5.38372, 5.37154, 5.3667, 5.37293, 5.37062, 5.37964", \ + "9.12122, 9.08719, 9.06692, 9.04132, 9.02859, 9.02741, 9.03279" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.109569, 0.123506, 0.13574, 0.143118, 0.146554, 0.147789, 0.148546", \ + "0.133465, 0.144879, 0.157336, 0.16613, 0.170216, 0.175656, 0.173711", \ + "0.278692, 0.290056, 0.300732, 0.313192, 0.317879, 0.319857, 0.322717", \ + "0.67166, 0.679651, 0.686863, 0.700359, 0.707941, 0.714032, 0.716906", \ + "1.55483, 1.5459, 1.55642, 1.56633, 1.58173, 1.58089, 1.59363", \ + "3.38136, 3.36686, 3.35858, 3.34879, 3.35642, 3.38482, 3.41383", \ + "7.10762, 7.07514, 7.04994, 7.025, 7.01527, 7.04709, 7.04795" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.71625, 2.72985, 2.74209, 2.74822, 2.75268, 2.75253, 2.75343", \ + "2.7352, 2.74873, 2.76679, 2.7771, 2.77912, 2.781, 2.78489", \ + "2.88479, 2.89582, 2.90573, 2.91798, 2.9298, 2.93395, 2.93834", \ + "3.28579, 3.29256, 3.30305, 3.3138, 3.32393, 3.3276, 3.33328", \ + "4.20273, 4.19809, 4.19999, 4.20693, 4.21395, 4.22297, 4.23353", \ + "6.00665, 5.98638, 5.9786, 5.97542, 5.97904, 5.98613, 5.98758", \ + "9.05833, 9.02575, 8.99609, 8.97979, 8.9705, 8.97219, 8.97348" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.911163, 0.925737, 0.938421, 0.945312, 0.949344, 0.952474, 0.950142", \ + "0.932563, 0.944747, 0.956751, 0.965886, 0.969457, 0.973021, 0.972104", \ + "1.0829, 1.09421, 1.1086, 1.11653, 1.11983, 1.1229, 1.12494", \ + "1.48397, 1.49113, 1.50204, 1.50978, 1.51894, 1.52642, 1.5282", \ + "2.39253, 2.38785, 2.38837, 2.4019, 2.4045, 2.41435, 2.42145", \ + "4.18941, 4.1717, 4.16589, 4.15703, 4.15818, 4.20636, 4.23911", \ + "7.23793, 7.20491, 7.17173, 7.15538, 7.14195, 7.18505, 7.19877" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 6.80579; + rise_capacitance : 6.73612; + rise_capacitance_range (4.2937, 6.73612); + fall_capacitance : 6.80579; + fall_capacitance_range (4.27634, 6.80579); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0178, 35.7199, 39.2532, 50.354, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.26008, 2.27373, 2.31796, 2.42268, 2.67404, 3.31147, 5.188" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.777409, 0.786177, 0.825072, 0.93584, 1.187, 1.81852, 3.6941" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.636771, -0.63116, -0.596447, -0.507212, -0.295551, 0.152261, 1.0662" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00173, 1.01596, 1.06665, 1.17683, 1.4074, 1.88203, 2.8397" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720951, 0.725771, 0.759939, 0.857425, 1.07064, 1.5167, 2.43164" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.356961, -0.344087, -0.299033, -0.190704, 0.0399183, 0.513517, 1.47174" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.550834; + rise_capacitance : 0.550834; + rise_capacitance_range (0.46795, 0.550834); + fall_capacitance : 0.550534; + fall_capacitance_range (0.406312, 0.550534); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-14.6143, -14.7774, -15.1035, -14.5483, -13.0614, -15.6641, -20.8548", \ + "-15.8111, -15.9742, -16.3004, -12.955, -14.2582, -16.861, -22.0517", \ + "-18.1501, -18.3132, -18.6393, -15.2939, -16.5972, -19.1999, -24.3906", \ + "-21.4795, -22.7718, -19.1004, -22.5, -21.0557, -23.6585, -31.6602", \ + "-26.6513, -26.8144, -27.1405, -27.7926, -29.0959, -31.6986, -36.8893", \ + "-39.2234, -39.3865, -35.7151, -36.3672, -37.6705, -40.2732, -45.4639", \ + "-54.3323, -54.4954, -54.8215, -54.3555, -52.7794, -55.3822, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-14.6143, -14.7774, -15.1035, -14.5483, -13.0614, -15.6641, -20.8548", \ + "-15.8111, -15.9742, -16.3004, -12.955, -14.2582, -16.861, -22.0517", \ + "-18.1501, -18.3132, -18.6393, -15.2939, -16.5972, -19.1999, -24.3906", \ + "-21.4795, -22.7718, -19.1004, -22.5, -21.0557, -23.6585, -31.6602", \ + "-26.6513, -26.8144, -27.1405, -27.7926, -29.0959, -31.6986, -36.8893", \ + "-39.2234, -39.3865, -35.7151, -36.3672, -37.6705, -40.2732, -45.4639", \ + "-54.3323, -54.4954, -54.8215, -54.3555, -52.7794, -55.3822, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5051, 40.2197, 42.8003, 51.5546, 69.4515, 100.512", \ + "36.8971, 38.2714, 40.986, 46.2787, 52.3209, 70.2178, 101.279", \ + "38.3576, 39.732, 42.4465, 47.7392, 53.7815, 71.6784, 98.7417", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.5825, 34.2591, 33.6606, 33.8647, 34.5262, 38.2636, 49.736", \ + "35.4769, 35.1535, 34.555, 33.5519, 35.4206, 39.158, 50.6304", \ + "37.2417, 36.9182, 36.3198, 39.3141, 37.1853, 40.9228, 52.3952", \ + "41.8042, 40.3516, 39.7532, 40, 40.6187, 44.3562, 53.0176", \ + "47.1575, 46.834, 46.2356, 49.2299, 47.1011, 50.8386, 58.3135", \ + "58.5848, 58.2613, 57.6629, 56.6597, 58.5284, 62.2659, 69.7408", \ + "79.2868, 74.9659, 74.3674, 74.4824, 75.233, 78.9704, 86.4453" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5051, 40.2197, 42.8003, 51.5546, 69.4515, 100.512", \ + "36.8971, 38.2714, 40.986, 46.2787, 52.3209, 70.2178, 101.279", \ + "38.3576, 39.732, 42.4465, 47.7392, 53.7815, 71.6784, 98.7417", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.5825, 34.2591, 33.6606, 33.8647, 34.5262, 38.2636, 49.736", \ + "35.4769, 35.1535, 34.555, 33.5519, 35.4206, 39.158, 50.6304", \ + "37.2417, 36.9182, 36.3198, 39.3141, 37.1853, 40.9228, 52.3952", \ + "41.8042, 40.3516, 39.7532, 40, 40.6187, 44.3562, 53.0176", \ + "47.1575, 46.834, 46.2356, 49.2299, 47.1011, 50.8386, 58.3135", \ + "58.5848, 58.2613, 57.6629, 56.6597, 58.5284, 62.2659, 69.7408", \ + "79.2868, 74.9659, 74.3674, 74.4824, 75.233, 78.9704, 86.4453" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00088322, 0.000854755, 0.000895006, 0.000929636, 0.000987389, 0.00100073, 0.00101912" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00125847, 0.0012262, 0.00116733, 0.00117672, 0.00110777, 0.00111452, 0.00109847" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0928529, 0.0938424, 0.0935557, 0.0933229, 0.0936145, 0.0933105, 0.0930962" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0911481, -0.0904308, -0.0913341, -0.0907345, -0.0917932, -0.0909556, -0.090828" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0400064, 0.0392211, 0.0433839, 0.0611181, 0.109483, 0.221986, 0.461202" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237519, 0.236961, 0.243988, 0.268048, 0.324964, 0.446299, 0.701382" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218485, 0.218255, 0.221638, 0.240519, 0.288163, 0.400946, 0.640012" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0580933, 0.0578328, 0.0645635, 0.0885842, 0.144629, 0.266719, 0.521684" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16487, 1.16358, 1.16605, 1.18393, 1.23648, 1.35149, 1.59523" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.920574, 0.919737, 0.925635, 0.951424, 1.00937, 1.13415, 1.3977" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.15764, 1.15592, 1.1584, 1.17624, 1.22786, 1.34403, 1.58784" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.937736, 0.937177, 0.943469, 0.968592, 1.02691, 1.15221, 1.41602" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.527132; + rise_capacitance : 0.52165; + rise_capacitance_range (0.456757, 0.52165); + fall_capacitance : 0.527132; + fall_capacitance_range (0.450028, 0.527132); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-14.856, -14.981, -15.2346, -14.5483, -12.8552, -15.27, -20.9817", \ + "-15.6764, -15.8015, -16.0551, -12.5786, -13.6757, -16.0905, -21.8022", \ + "-17.2818, -17.4069, -17.6605, -14.184, -15.2811, -17.6959, -23.4076", \ + "-19.2212, -20.4754, -16.7315, -20, -18.3496, -20.7644, -29.2871", \ + "-21.9208, -22.0459, -22.2995, -22.8205, -23.9176, -26.3323, -32.0441", \ + "-30.7805, -30.9056, -31.1592, -31.6802, -32.7773, -35.192, -36.9063", \ + "-43.3923, -43.5174, -43.771, -43.1738, -41.3916, -43.8064, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-14.856, -14.981, -15.2346, -14.5483, -12.8552, -15.27, -20.9817", \ + "-15.6764, -15.8015, -16.0551, -12.5786, -13.6757, -16.0905, -21.8022", \ + "-17.2818, -17.4069, -17.6605, -14.184, -15.2811, -17.6959, -23.4076", \ + "-19.2212, -20.4754, -16.7315, -20, -18.3496, -20.7644, -29.2871", \ + "-21.9208, -22.0459, -22.2995, -22.8205, -23.9176, -26.3323, -32.0441", \ + "-30.7805, -30.9056, -31.1592, -31.6802, -32.7773, -35.192, -36.9063", \ + "-43.3923, -43.5174, -43.771, -43.1738, -41.3916, -43.8064, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5067, 40.2228, 42.8003, 55.521, 69.2587, 99.5979", \ + "36.8872, 38.263, 40.9792, 46.2688, 56.2774, 74.0125, 100.354", \ + "38.3378, 39.7137, 42.4298, 47.7194, 57.7281, 71.4657, 101.805", \ + "38.1812, 42.3668, 41.0854, 48.375, 56.3836, 74.1188, 101.546", \ + "41.3323, 42.7082, 45.4243, 50.7139, 60.7225, 78.4577, 104.799", \ + "42.015, 43.3909, 46.107, 51.3966, 61.4053, 79.1404, 105.482", \ + "43.3806, 44.7564, 43.4751, 49.8828, 58.7733, 76.5084, 102.85" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.8242, 34.4627, 33.7917, 33.8647, 34.5443, 38.318, 49.863", \ + "35.3422, 34.9807, 34.3097, 33.1755, 35.0623, 38.836, 50.381", \ + "36.3734, 36.0119, 35.3409, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.5459, 38.0553, 37.3843, 37.5, 38.1369, 41.9106, 50.6445", \ + "46.4245, 46.063, 45.392, 44.2578, 46.1446, 45.9208, 53.4683", \ + "54.1394, 53.7779, 53.1069, 51.9727, 53.8595, 53.6357, 61.1832", \ + "64.3494, 63.9879, 63.3169, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5067, 40.2228, 42.8003, 55.521, 69.2587, 99.5979", \ + "36.8872, 38.263, 40.9792, 46.2688, 56.2774, 74.0125, 100.354", \ + "38.3378, 39.7137, 42.4298, 47.7194, 57.7281, 71.4657, 101.805", \ + "38.1812, 42.3668, 41.0854, 48.375, 56.3836, 74.1188, 101.546", \ + "41.3323, 42.7082, 45.4243, 50.7139, 60.7225, 78.4577, 104.799", \ + "42.015, 43.3909, 46.107, 51.3966, 61.4053, 79.1404, 105.482", \ + "43.3806, 44.7564, 43.4751, 49.8828, 58.7733, 76.5084, 102.85" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.8242, 34.4627, 33.7917, 33.8647, 34.5443, 38.318, 49.863", \ + "35.3422, 34.9807, 34.3097, 33.1755, 35.0623, 38.836, 50.381", \ + "36.3734, 36.0119, 35.3409, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.5459, 38.0553, 37.3843, 37.5, 38.1369, 41.9106, 50.6445", \ + "46.4245, 46.063, 45.392, 44.2578, 46.1446, 45.9208, 53.4683", \ + "54.1394, 53.7779, 53.1069, 51.9727, 53.8595, 53.6357, 61.1832", \ + "64.3494, 63.9879, 63.3169, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0317402, -0.0323495, -0.0334622, -0.0338363, -0.0340202, -0.0338992, -0.0337453" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.037731, 0.0380584, 0.0378476, 0.0383381, 0.0381551, 0.0381182, 0.0379287" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0892879, 0.0889376, 0.0895109, 0.0894, 0.0891806, 0.088011, 0.0875184" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0844748, -0.0848781, -0.0846781, -0.0855815, -0.0849461, -0.0850467, -0.0846863" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0366222, 0.037512, 0.0434739, 0.063912, 0.117935, 0.24333, 0.509694" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.271978, 0.269392, 0.276038, 0.299273, 0.360269, 0.496068, 0.77911" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.252776, 0.253986, 0.259872, 0.280439, 0.333975, 0.459512, 0.725004" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0536124, 0.0514424, 0.0573004, 0.0805018, 0.140948, 0.27689, 0.560674" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16446, 1.16483, 1.17031, 1.1907, 1.24743, 1.37434, 1.65095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.949732, 0.946975, 0.952451, 0.974908, 1.03888, 1.18051, 1.46961" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19471, 1.1947, 1.2002, 1.2204, 1.27661, 1.40371, 1.68059" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.928153, 0.925153, 0.930844, 0.95331, 1.01798, 1.15984, 1.44993" \ + ); + } + } + } + } + cell (ICGx3_ASAP7_75t_L) { + area : 0.2916; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1950.86; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2132; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2062.03; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2243.17; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2053.25; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2234.38; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2185.55; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2745.03; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2118.6; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2229.78; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2220.99; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2003.28; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2181.58; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.64588, 10.9987, 13.3235, 17.5019, 25.4995, 41.3368, 72.9614", \ + "10.9793, 12.3169, 14.6354, 18.8128, 26.8104, 42.6464, 74.2745", \ + "13.0904, 14.4676, 16.8363, 21.0572, 29.0638, 44.8955, 76.4981", \ + "16.2596, 17.6817, 20.122, 24.4048, 32.4925, 48.327, 79.9168", \ + "21.1929, 22.7154, 25.2663, 29.6507, 37.7511, 53.6275, 85.2613", \ + "28.8383, 30.4906, 33.2394, 37.9175, 46.2014, 62.1327, 93.7421", \ + "40.9372, 42.777, 45.8783, 51.0015, 59.8145, 76.1492, 108.186" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.9329, 7.01747, 11.1737, 19.6189, 36.8299, 71.6829, 141.776", \ + "5.05891, 7.11939, 11.2409, 19.6531, 36.8417, 71.6766, 141.776", \ + "5.58989, 7.64437, 11.6524, 19.9313, 36.9875, 71.7313, 141.762", \ + "6.33196, 8.33054, 12.2814, 20.5443, 37.3577, 71.9229, 141.843", \ + "7.65027, 9.57235, 13.4027, 21.3465, 37.9631, 72.282, 142.021", \ + "9.7879, 11.6596, 15.4402, 23.3543, 39.3169, 73.8183, 142.703", \ + "13.2716, 15.1394, 18.8873, 26.4209, 42.2477, 75.65, 144.458" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.77537, 11.1263, 13.4154, 17.5321, 25.4975, 41.3233, 72.9242", \ + "11.1008, 12.4338, 14.711, 18.814, 26.7747, 42.5911, 74.1971", \ + "13.2658, 14.6193, 16.896, 21.0237, 28.9763, 44.79, 76.3724", \ + "16.5088, 17.884, 20.1765, 24.2801, 32.2509, 48.0609, 79.6698", \ + "21.5173, 22.8789, 25.1463, 29.2137, 37.1387, 52.9619, 84.6047", \ + "28.7664, 30.0915, 32.3283, 36.3455, 44.2144, 60.0489, 91.5992", \ + "39.4136, 40.7169, 42.9441, 46.8445, 54.6869, 70.3739, 101.942" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.01224, 7.02549, 11.0715, 19.4344, 36.6095, 71.4753, 141.586", \ + "5.12129, 7.1004, 11.1198, 19.4502, 36.6071, 71.4737, 141.585", \ + "5.62643, 7.56102, 11.4041, 19.6127, 36.67, 71.476, 141.583", \ + "6.3171, 8.11362, 11.8428, 19.9651, 36.8043, 71.5502, 141.617", \ + "7.25752, 8.90458, 12.4113, 20.207, 36.9913, 71.6472, 141.692", \ + "7.9837, 9.5333, 12.8913, 20.4673, 37.0433, 72.0475, 141.9", \ + "8.3835, 9.9126, 13.1225, 20.6665, 37.1671, 71.6707, 142.336" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.84908, 11.3587, 13.9284, 18.6288, 27.6242, 45.4004, 80.8497", \ + "10.9952, 12.4616, 15.0441, 19.7408, 28.7333, 46.5139, 81.9746", \ + "12.3505, 13.8447, 16.4855, 21.2382, 30.2497, 48.0266, 83.4861", \ + "14.0908, 15.5771, 18.1916, 22.9325, 32.084, 49.8969, 85.3172", \ + "16.216, 17.7246, 20.3494, 25.1135, 34.1623, 51.9715, 87.5463", \ + "18.2036, 19.7993, 22.5786, 27.434, 36.5503, 54.4663, 90.0295", \ + "19.0363, 20.8431, 23.7992, 28.9852, 38.2964, 56.6361, 92.5109" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.7579, 8.25236, 13.1837, 23.1597, 43.4457, 84.4399, 166.832", \ + "5.9052, 8.36613, 13.2666, 23.2113, 43.4643, 84.4475, 166.835", \ + "6.27988, 8.77166, 13.6273, 23.4881, 43.6541, 84.5198, 166.846", \ + "6.7488, 9.16836, 14.076, 23.8669, 43.968, 84.7824, 166.994", \ + "7.80358, 10.1058, 14.7964, 24.3843, 44.4493, 85.0265, 167.235", \ + "9.64684, 11.8779, 16.3922, 25.694, 45.2725, 86.5179, 168.042", \ + "12.7828, 14.8743, 19.3799, 28.3586, 47.8347, 87.7282, 170.192" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.64588, 10.9987, 13.3235, 17.5019, 25.4995, 41.3368, 72.9614", \ + "10.9793, 12.3169, 14.6354, 18.8128, 26.8104, 42.6464, 74.2745", \ + "13.0904, 14.4676, 16.8363, 21.0572, 29.0638, 44.8955, 76.4981", \ + "16.2596, 17.6817, 20.122, 24.4048, 32.4925, 48.327, 79.9168", \ + "21.1929, 22.7154, 25.2663, 29.6507, 37.7511, 53.6275, 85.2613", \ + "28.8383, 30.4906, 33.2394, 37.9175, 46.2014, 62.1327, 93.7421", \ + "40.9372, 42.777, 45.8783, 51.0015, 59.8145, 76.1492, 108.186" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.9329, 7.01747, 11.1737, 19.6189, 36.8299, 71.6829, 141.776", \ + "5.05891, 7.11939, 11.2409, 19.6531, 36.8417, 71.6766, 141.776", \ + "5.58989, 7.64437, 11.6524, 19.9313, 36.9875, 71.7313, 141.762", \ + "6.33196, 8.33054, 12.2814, 20.5443, 37.3577, 71.9229, 141.843", \ + "7.65027, 9.57235, 13.4027, 21.3465, 37.9631, 72.282, 142.021", \ + "9.7879, 11.6596, 15.4402, 23.3543, 39.3169, 73.8183, 142.703", \ + "13.2716, 15.1394, 18.8873, 26.4209, 42.2477, 75.65, 144.458" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.06884, 1.0762, 1.08737, 1.09666, 1.10249, 1.10571, 1.10725", \ + "1.0719, 1.07592, 1.08513, 1.09607, 1.10304, 1.10707, 1.10859", \ + "1.11556, 1.11321, 1.11823, 1.12591, 1.13445, 1.13841, 1.14442", \ + "1.24721, 1.23534, 1.22914, 1.23358, 1.23959, 1.24541, 1.25029", \ + "1.54925, 1.52298, 1.50522, 1.49677, 1.49718, 1.50224, 1.50627", \ + "2.19298, 2.13824, 2.09741, 2.07148, 2.05784, 2.05579, 2.05628", \ + "3.49432, 3.41102, 3.32817, 3.26706, 3.2299, 3.20907, 3.2003" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.33438, 0.341639, 0.352679, 0.362633, 0.368576, 0.371856, 0.37366", \ + "0.336306, 0.339885, 0.348958, 0.356098, 0.362743, 0.366751, 0.368353", \ + "0.381834, 0.378817, 0.381676, 0.389534, 0.395422, 0.40075, 0.394609", \ + "0.512354, 0.498612, 0.496832, 0.505873, 0.510113, 0.510287, 0.507237", \ + "0.811896, 0.782592, 0.766779, 0.762999, 0.766697, 0.769646, 0.768485", \ + "1.45162, 1.39917, 1.35076, 1.34385, 1.32824, 1.38014, 1.34157", \ + "2.75576, 2.67205, 2.59072, 2.52521, 2.49487, 2.49168, 2.52138" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.35679, 1.36355, 1.37454, 1.38382, 1.38961, 1.39287, 1.3947", \ + "1.35922, 1.36292, 1.37203, 1.38286, 1.38925, 1.39343, 1.39496", \ + "1.40766, 1.40459, 1.40923, 1.41701, 1.4249, 1.42958, 1.43377", \ + "1.54644, 1.53505, 1.53138, 1.53509, 1.53993, 1.54576, 1.54937", \ + "1.84985, 1.82668, 1.81367, 1.80568, 1.80615, 1.81156, 1.8151", \ + "2.38062, 2.35062, 2.32457, 2.30562, 2.29627, 2.29799, 2.2985", \ + "3.33383, 3.302, 3.26702, 3.23292, 3.21334, 3.20335, 3.20295" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.73846, 0.746137, 0.757337, 0.766695, 0.772526, 0.776229, 0.777827", \ + "0.739861, 0.743231, 0.751343, 0.758463, 0.764487, 0.768529, 0.769944", \ + "0.791342, 0.786897, 0.786704, 0.798065, 0.804681, 0.809154, 0.804155", \ + "0.929007, 0.916838, 0.915051, 0.925234, 0.92591, 0.929486, 0.932454", \ + "1.23018, 1.20717, 1.1912, 1.18831, 1.19377, 1.19492, 1.19927", \ + "1.75473, 1.72522, 1.70155, 1.67981, 1.67617, 1.72151, 1.70297", \ + "2.71033, 2.67905, 2.64625, 2.60848, 2.59527, 2.58782, 2.69538" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.49107; + rise_capacitance : 2.42433; + rise_capacitance_range (1.72182, 2.42433); + fall_capacitance : 2.49107; + fall_capacitance_range (1.80692, 2.49107); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.54492, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.2588, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.894251, 0.896762, 0.919018, 0.984819, 1.15841, 1.60142, 2.62636" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258507, 0.261383, 0.284575, 0.34876, 0.521544, 0.962451, 1.98864" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.197831, -0.194708, -0.179927, -0.137189, -0.036024, 0.184037, 0.636701" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.43414, 0.439598, 0.461434, 0.516689, 0.628774, 0.863898, 1.34063" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340657, 0.341887, 0.357021, 0.401289, 0.505203, 0.724167, 1.17603" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.105956, -0.09924, -0.0762775, -0.0255385, 0.0884262, 0.322162, 0.800673" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.488369; + rise_capacitance : 0.488364; + rise_capacitance_range (0.410879, 0.488364); + fall_capacitance : 0.488369; + fall_capacitance_range (0.350684, 0.488369); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.3775, -9.99141, -9.26718, -10.9375, -9.3338, -11.9803, -17.2732", \ + "-11.1736, -10.7875, -10.0633, -12.8042, -10.1299, -12.7764, -18.0694", \ + "-12.7487, -12.3627, -11.6384, -14.3793, -15.7025, -18.349, -23.642", \ + "-18.7769, -15.4443, -14.7201, -16.3281, -18.7842, -21.4307, -25.5957", \ + "-21.7193, -21.3332, -20.609, -23.3498, -24.6731, -27.3196, -32.6125", \ + "-32.3996, -32.0135, -31.2893, -30.0327, -31.3559, -34.0024, -39.2954", \ + "-49.3704, -48.9843, -48.2601, -49.8828, -48.3267, -50.9732, -56.2662" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.3775, -9.99141, -9.26718, -10.9375, -9.3338, -11.9803, -17.2732", \ + "-11.1736, -10.7875, -10.0633, -12.8042, -10.1299, -12.7764, -18.0694", \ + "-12.7487, -12.3627, -11.6384, -14.3793, -15.7025, -18.349, -23.642", \ + "-18.7769, -15.4443, -14.7201, -16.3281, -18.7842, -21.4307, -25.5957", \ + "-21.7193, -21.3332, -20.609, -23.3498, -24.6731, -27.3196, -32.6125", \ + "-32.3996, -32.0135, -31.2893, -30.0327, -31.3559, -34.0024, -39.2954", \ + "-49.3704, -48.9843, -48.2601, -49.8828, -48.3267, -50.9732, -56.2662" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.7018, 24.5999, 26.3744, 27.0186, 36.4094, 48.1583, 66.0638", \ + "24.415, 25.3132, 27.0876, 30.5491, 37.1226, 48.8715, 66.777", \ + "25.7734, 26.6715, 24.4485, 31.9075, 38.481, 50.2299, 68.1354", \ + "25.2515, 25.1181, 26.8926, 32.2706, 40.9251, 48.6765, 67.8358", \ + "27.6528, 28.5509, 30.3254, 33.7869, 40.3604, 52.1093, 70.0148", \ + "26.5235, 27.4216, 29.196, 32.6576, 39.231, 50.98, 68.8854", \ + "24.2648, 21.1654, 22.9399, 27.5195, 36.9724, 48.7213, 62.6293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.0395, 22.0771, 22.1703, 19.502, 23.2352, 26.0036, 36.1642", \ + "23.2488, 23.2863, 23.3795, 19.6407, 24.4444, 27.2129, 37.3735", \ + "25.614, 25.6516, 25.7448, 22.0059, 26.8097, 29.5781, 39.7388", \ + "27.1851, 26.1716, 26.2648, 27.6562, 27.3297, 30.0982, 41.3867", \ + "34.317, 34.3546, 34.4478, 34.7064, 35.5127, 38.2811, 44.4442", \ + "43.2772, 43.3147, 43.4079, 43.6666, 44.4728, 47.2413, 53.4044", \ + "63.5544, 63.592, 63.6852, 61.0645, 60.7526, 63.521, 69.6841" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.7018, 24.5999, 26.3744, 27.0186, 36.4094, 48.1583, 66.0638", \ + "24.415, 25.3132, 27.0876, 30.5491, 37.1226, 48.8715, 66.777", \ + "25.7734, 26.6715, 24.4485, 31.9075, 38.481, 50.2299, 68.1354", \ + "25.2515, 25.1181, 26.8926, 32.2706, 40.9251, 48.6765, 67.8358", \ + "27.6528, 28.5509, 30.3254, 33.7869, 40.3604, 52.1093, 70.0148", \ + "26.5235, 27.4216, 29.196, 32.6576, 39.231, 50.98, 68.8854", \ + "24.2648, 21.1654, 22.9399, 27.5195, 36.9724, 48.7213, 62.6293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.0395, 22.0771, 22.1703, 19.502, 23.2352, 26.0036, 36.1642", \ + "23.2488, 23.2863, 23.3795, 19.6407, 24.4444, 27.2129, 37.3735", \ + "25.614, 25.6516, 25.7448, 22.0059, 26.8097, 29.5781, 39.7388", \ + "27.1851, 26.1716, 26.2648, 27.6562, 27.3297, 30.0982, 41.3867", \ + "34.317, 34.3546, 34.4478, 34.7064, 35.5127, 38.2811, 44.4442", \ + "43.2772, 43.3147, 43.4079, 43.6666, 44.4728, 47.2413, 53.4044", \ + "63.5544, 63.592, 63.6852, 61.0645, 60.7526, 63.521, 69.6841" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000332062, 0.000343283, 0.000394936, 0.000395678, 0.000448409, 0.000471013, 0.000484892" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000722684, 0.00063472, 0.000582758, 0.000576415, 0.000549251, 0.000572033, 0.000549727" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0775914, 0.0780114, 0.0777719, 0.0782281, 0.0782541, 0.0778633, 0.0775685" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0769126, -0.0757944, -0.0766876, -0.0769804, -0.0771094, -0.0766099, -0.0763796" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0393535, 0.0389828, 0.0432824, 0.0610872, 0.10923, 0.221545, 0.460493" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240089, 0.239241, 0.246736, 0.271112, 0.326812, 0.448648, 0.703359" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.201254, 0.200347, 0.204641, 0.222304, 0.270851, 0.38315, 0.621857" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0778773, 0.0775336, 0.0847097, 0.108774, 0.164317, 0.28638, 0.541087" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525759, 0.523518, 0.526388, 0.543896, 0.594416, 0.710579, 0.967183" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618702, 0.617654, 0.623976, 0.649091, 0.708539, 0.837947, 1.1119" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.572711, 0.570578, 0.572798, 0.590714, 0.640491, 0.757529, 1.01407" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573817, 0.573183, 0.57953, 0.60487, 0.663686, 0.793159, 1.06647" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.489575; + rise_capacitance : 0.48541; + rise_capacitance_range (0.421892, 0.48541); + fall_capacitance : 0.489575; + fall_capacitance_range (0.416158, 0.489575); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -25.0861, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.5411, -10.1294, -9.35617, -10.9375, -9.33519, -11.9845, -17.283", \ + "-11.0017, -10.59, -9.81678, -12.4687, -9.7958, -12.4451, -17.7436", \ + "-11.9176, -11.5059, -10.7327, -13.3846, -14.7092, -17.3585, -18.6596", \ + "-16.6748, -13.3166, -12.5434, -14.0625, -16.5199, -19.1692, -23.3398", \ + "-21.2626, -20.8509, -20.0777, -18.7321, -20.0567, -22.706, -28.0046", \ + "-27.9976, -27.5859, -26.8127, -25.4671, -26.7918, -29.441, -34.7396", \ + "-40.1135, -39.7018, -34.9311, -36.4649, -38.9076, -41.5569, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -25.0861, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.5411, -10.1294, -9.35617, -10.9375, -9.33519, -11.9845, -17.283", \ + "-11.0017, -10.59, -9.81678, -12.4687, -9.7958, -12.4451, -17.7436", \ + "-11.9176, -11.5059, -10.7327, -13.3846, -14.7092, -17.3585, -18.6596", \ + "-16.6748, -13.3166, -12.5434, -14.0625, -16.5199, -19.1692, -23.3398", \ + "-21.2626, -20.8509, -20.0777, -18.7321, -20.0567, -22.706, -28.0046", \ + "-27.9976, -27.5859, -26.8127, -25.4671, -26.7918, -29.441, -34.7396", \ + "-40.1135, -39.7018, -34.9311, -36.4649, -38.9076, -41.5569, -46.8555" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.9928, 24.8428, 26.5275, 27.0186, 36.2079, 47.9735, 63.5932", \ + "24.6471, 25.4971, 27.1819, 30.4902, 36.8623, 48.6279, 64.2475", \ + "25.9049, 26.755, 28.4397, 31.7481, 38.1201, 49.8857, 65.5054", \ + "25.2515, 25.07, 26.7547, 31.6887, 40.4326, 52.1983, 69.1158", \ + "28.0323, 28.8823, 30.567, 33.8754, 40.2475, 52.0131, 71.6302", \ + "29.9014, 30.7514, 32.4361, 35.7445, 42.1166, 53.8822, 69.5018", \ + "28.1491, 28.9991, 30.6838, 35.9922, 40.3643, 52.1299, 71.747" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.2031, 22.215, 22.2592, 19.502, 23.0931, 25.7208, 36.174", \ + "23.073, 23.085, 23.1292, 19.3013, 23.963, 26.5907, 37.0439", \ + "24.7753, 24.7872, 20.834, 21.0036, 25.6653, 28.293, 38.7462", \ + "25.083, 24.0439, 24.0882, 25.3906, 24.922, 31.5472, 39.1309", \ + "29.9389, 29.9508, 29.9951, 30.1647, 30.8289, 33.4566, 43.9098", \ + "39.3468, 39.3587, 39.403, 35.5751, 36.2393, 42.8645, 49.3202", \ + "52.5363, 52.5483, 48.595, 49.8828, 49.4288, 52.0566, 58.5123" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.9928, 24.8428, 26.5275, 27.0186, 36.2079, 47.9735, 63.5932", \ + "24.6471, 25.4971, 27.1819, 30.4902, 36.8623, 48.6279, 64.2475", \ + "25.9049, 26.755, 28.4397, 31.7481, 38.1201, 49.8857, 65.5054", \ + "25.2515, 25.07, 26.7547, 31.6887, 40.4326, 52.1983, 69.1158", \ + "28.0323, 28.8823, 30.567, 33.8754, 40.2475, 52.0131, 71.6302", \ + "29.9014, 30.7514, 32.4361, 35.7445, 42.1166, 53.8822, 69.5018", \ + "28.1491, 28.9991, 30.6838, 35.9922, 40.3643, 52.1299, 71.747" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.2031, 22.215, 22.2592, 19.502, 23.0931, 25.7208, 36.174", \ + "23.073, 23.085, 23.1292, 19.3013, 23.963, 26.5907, 37.0439", \ + "24.7753, 24.7872, 20.834, 21.0036, 25.6653, 28.293, 38.7462", \ + "25.083, 24.0439, 24.0882, 25.3906, 24.922, 31.5472, 39.1309", \ + "29.9389, 29.9508, 29.9951, 30.1647, 30.8289, 33.4566, 43.9098", \ + "39.3468, 39.3587, 39.403, 35.5751, 36.2393, 42.8645, 49.3202", \ + "52.5363, 52.5483, 48.595, 49.8828, 49.4288, 52.0566, 58.5123" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0321766, -0.0333782, -0.0339041, -0.034292, -0.0344799, -0.0341491, -0.0342333" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0374653, 0.0375387, 0.0376654, 0.037689, 0.0376721, 0.0375317, 0.0373417" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0793507, 0.0799105, 0.0797018, 0.0795706, 0.079313, 0.078151, 0.0777415" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0761758, -0.0760945, -0.0764059, -0.0768154, -0.0764445, -0.0763308, -0.0760032" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.036224, 0.0368482, 0.0431273, 0.0633672, 0.117168, 0.24231, 0.508429" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.27563, 0.27331, 0.279629, 0.302947, 0.361521, 0.497752, 0.782085" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240745, 0.240888, 0.24793, 0.267942, 0.321507, 0.446897, 0.712032" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0690632, 0.0668739, 0.072525, 0.0958674, 0.155047, 0.290937, 0.575152" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521352, 0.521004, 0.526325, 0.54625, 0.601575, 0.732205, 1.01743" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.654342, 0.65154, 0.657009, 0.679584, 0.743431, 0.88564, 1.18714" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611557, 0.6108, 0.615784, 0.636515, 0.691164, 0.822296, 1.10731" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.565182, 0.562288, 0.566927, 0.591019, 0.654195, 0.796178, 1.09761" \ + ); + } + } + } + } + cell (ICGx4DC_ASAP7_75t_L) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 4306.04; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4468.8; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4417.21; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4579.97; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4408.42; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4571.18; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4540.6; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5081.93; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4663.89; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4775.07; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4766.28; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3278.82; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4488.19; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.7015, 8.64539, 10.3102, 13.3721, 19.2943, 31.0531, 54.5393", \ + "8.81579, 9.77534, 11.4603, 14.5285, 20.463, 32.2233, 55.7114", \ + "10.4045, 11.3869, 13.1298, 16.2582, 22.2426, 34.0043, 57.4899", \ + "12.9408, 13.9805, 15.769, 18.9415, 24.937, 36.7999, 60.2644", \ + "16.9507, 18.0798, 19.9989, 23.2982, 29.3684, 41.208, 64.766", \ + "23.1653, 24.4706, 26.5927, 30.1288, 36.4202, 48.4402, 72.0656", \ + "33.265, 34.7156, 37.0885, 41.1235, 47.9948, 60.5712, 84.8343" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.7957, 5.29236, 8.32184, 14.5067, 27.0656, 52.4107, 103.277", \ + "4.06955, 5.52492, 8.49491, 14.6115, 27.1039, 52.4345, 103.277", \ + "4.43625, 5.87073, 8.8651, 14.8768, 27.2898, 52.514, 103.303", \ + "5.0392, 6.46387, 9.34176, 15.2727, 27.5803, 52.6664, 103.394", \ + "6.07215, 7.50372, 10.3427, 16.0476, 28.1077, 53.1085, 103.595", \ + "7.80236, 9.20985, 12.011, 17.6952, 29.5481, 54.2948, 105.575", \ + "10.3808, 11.8645, 14.6984, 20.3898, 32.1359, 56.4841, 106.349" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.72033, 8.66698, 10.3366, 13.4083, 19.3494, 31.1275, 54.62", \ + "8.83329, 9.79959, 11.4802, 14.5684, 20.5203, 32.2957, 55.7906", \ + "10.4189, 11.4263, 13.1591, 16.3164, 22.3141, 34.1193, 57.6091", \ + "13.005, 14.0504, 15.8514, 19.0383, 25.0614, 36.9106, 60.3976", \ + "17.0473, 18.1883, 20.1257, 23.4611, 29.54, 41.3637, 64.9675", \ + "23.4109, 24.7149, 26.865, 30.4534, 36.7544, 48.6553, 72.1776", \ + "33.7448, 35.1522, 37.6589, 41.6833, 48.3985, 60.3188, 83.7561" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.80083, 5.29955, 8.33355, 14.5242, 27.0972, 52.4154, 103.292", \ + "4.07765, 5.53347, 8.50778, 14.6286, 27.1345, 52.4265, 103.279", \ + "4.44803, 5.88893, 8.8935, 14.9054, 27.3183, 52.531, 103.297", \ + "5.08035, 6.50387, 9.37335, 15.3003, 27.5863, 52.6507, 103.388", \ + "6.17601, 7.6102, 10.4732, 16.1498, 28.1585, 53.0737, 103.588", \ + "7.98252, 9.40784, 12.2487, 17.8514, 29.4314, 54.0409, 105.221", \ + "10.8284, 12.2466, 15.1359, 20.502, 31.563, 55.0496, 104.368" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.79247, 8.84533, 10.7239, 14.1833, 20.8484, 34.0517, 60.4", \ + "8.54506, 9.63516, 11.5425, 15.0155, 21.6839, 34.8984, 61.2477", \ + "9.37156, 10.4293, 12.3449, 15.8998, 22.6654, 35.9055, 62.2411", \ + "10.4708, 11.5345, 13.4341, 16.9657, 23.7521, 37.1689, 63.4925", \ + "11.6229, 12.7349, 14.7022, 18.2734, 25.045, 38.3896, 64.9517", \ + "12.2611, 13.4843, 15.599, 19.2997, 26.1577, 39.6814, 66.2747", \ + "11.1479, 12.4944, 14.8605, 18.825, 25.9989, 39.9355, 67.1386" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.56965, 6.36349, 9.98231, 17.3528, 32.3161, 62.4925, 123.051", \ + "4.8705, 6.64058, 10.1967, 17.5007, 32.3748, 62.5061, 123.052", \ + "5.03731, 6.83053, 10.5132, 17.7751, 32.6089, 62.6872, 123.087", \ + "5.52645, 7.23012, 10.7328, 18.0174, 32.9027, 62.9081, 123.293", \ + "6.42731, 8.10777, 11.4858, 18.5856, 33.2331, 63.4153, 123.54", \ + "7.90778, 9.5936, 12.9328, 19.8577, 34.3416, 64.5919, 125.656", \ + "10.3363, 12.0215, 15.3735, 22.1678, 36.5471, 66.1857, 126.086" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.7015, 8.64539, 10.3102, 13.3721, 19.2943, 31.0531, 54.5393", \ + "8.81579, 9.77534, 11.4603, 14.5285, 20.463, 32.2233, 55.7114", \ + "10.4045, 11.3869, 13.1298, 16.2582, 22.2426, 34.0043, 57.4899", \ + "12.9408, 13.9805, 15.769, 18.9415, 24.937, 36.7999, 60.2644", \ + "16.9507, 18.0798, 19.9989, 23.2982, 29.3684, 41.208, 64.766", \ + "23.1653, 24.4706, 26.5927, 30.1288, 36.4202, 48.4402, 72.0656", \ + "33.265, 34.7156, 37.0885, 41.1235, 47.9948, 60.5712, 84.8343" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.7957, 5.29236, 8.32184, 14.5067, 27.0656, 52.4107, 103.277", \ + "4.06955, 5.52492, 8.49491, 14.6115, 27.1039, 52.4345, 103.277", \ + "4.43625, 5.87073, 8.8651, 14.8768, 27.2898, 52.514, 103.303", \ + "5.0392, 6.46387, 9.34176, 15.2727, 27.5803, 52.6664, 103.394", \ + "6.07215, 7.50372, 10.3427, 16.0476, 28.1077, 53.1085, 103.595", \ + "7.80236, 9.20985, 12.011, 17.6952, 29.5481, 54.2948, 105.575", \ + "10.3808, 11.8645, 14.6984, 20.3898, 32.1359, 56.4841, 106.349" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.2814, 2.29796, 2.31711, 2.33221, 2.34105, 2.3439, 2.3463", \ + "2.29932, 2.31475, 2.33288, 2.35249, 2.36201, 2.36736, 2.37306", \ + "2.44725, 2.45235, 2.46696, 2.48233, 2.49663, 2.50648, 2.51593", \ + "2.84977, 2.85072, 2.85477, 2.86312, 2.87706, 2.88571, 2.89479", \ + "3.75791, 3.73609, 3.7272, 3.72319, 3.73489, 3.74383, 3.75297", \ + "5.6294, 5.59348, 5.55897, 5.53223, 5.52989, 5.53052, 5.53663", \ + "9.43446, 9.36787, 9.30282, 9.24408, 9.20595, 9.1883, 9.18359" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.280251, 0.297887, 0.317644, 0.333343, 0.342565, 0.345344, 0.348151", \ + "0.304867, 0.314176, 0.331109, 0.345901, 0.357418, 0.36431, 0.363879", \ + "0.448342, 0.453324, 0.469153, 0.481764, 0.494809, 0.501685, 0.502564", \ + "0.84604, 0.841151, 0.851155, 0.864573, 0.873711, 0.887232, 0.88676", \ + "1.74196, 1.72711, 1.72028, 1.72292, 1.73586, 1.75021, 1.74952", \ + "3.61555, 3.58214, 3.54679, 3.52162, 3.51954, 3.54308, 3.69124", \ + "7.4183, 7.35416, 7.28118, 7.22397, 7.19934, 7.19329, 7.19206" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.88902, 2.90535, 2.9248, 2.93997, 2.94818, 2.95184, 2.95297", \ + "2.90592, 2.92162, 2.93989, 2.95886, 2.96821, 2.97239, 2.97809", \ + "3.05663, 3.06311, 3.0753, 3.09393, 3.10624, 3.11756, 3.12603", \ + "3.46365, 3.46127, 3.46409, 3.47574, 3.49155, 3.50135, 3.50842", \ + "4.40095, 4.38101, 4.36902, 4.36801, 4.37785, 4.38505, 4.39307", \ + "6.2494, 6.20952, 6.17396, 6.15081, 6.13831, 6.14564, 6.15198", \ + "9.38891, 9.32101, 9.25014, 9.19419, 9.15517, 9.1403, 9.13553" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.08348, 1.10052, 1.12015, 1.13564, 1.14407, 1.14784, 1.14892", \ + "1.10662, 1.11622, 1.13027, 1.14802, 1.15863, 1.16477, 1.16436", \ + "1.25104, 1.25869, 1.27009, 1.28566, 1.29708, 1.30804, 1.3104", \ + "1.66059, 1.65949, 1.66334, 1.67281, 1.6854, 1.69773, 1.69971", \ + "2.59117, 2.57315, 2.56288, 2.56046, 2.57062, 2.58065, 2.6012", \ + "4.43524, 4.39609, 4.3606, 4.33078, 4.31738, 4.3576, 4.5004", \ + "7.56894, 7.49513, 7.42844, 7.36916, 7.33429, 7.33994, 7.33789" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 6.80095; + rise_capacitance : 6.73389; + rise_capacitance_range (4.29645, 6.73389); + fall_capacitance : 6.80095; + fall_capacitance_range (4.27836, 6.80095); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0178, 35.7199, 39.2532, 50.354, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.265, 2.2763, 2.32092, 2.42672, 2.67424, 3.31701, 5.18156" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.779405, 0.789322, 0.827691, 0.937745, 1.19133, 1.82153, 3.69098" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.637911, -0.631612, -0.599964, -0.508863, -0.293741, 0.151605, 1.06559" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.0029, 1.01683, 1.06715, 1.1774, 1.40804, 1.8836, 2.84045" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720952, 0.725568, 0.76567, 0.858265, 1.0662, 1.51634, 2.43124" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.356533, -0.342915, -0.298783, -0.190414, 0.0403736, 0.513883, 1.47227" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.550832; + rise_capacitance : 0.550832; + rise_capacitance_range (0.468181, 0.550832); + fall_capacitance : 0.550534; + fall_capacitance_range (0.406312, 0.550534); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.1378, -16.0604, -15.9313, -14.5483, -13.0576, -17.0128, -20.8548", \ + "-17.3321, -17.2573, -17.1282, -16.9525, -14.2545, -18.2097, -26.0492", \ + "-19.6711, -19.5962, -19.4671, -19.2914, -16.5934, -20.5486, -24.3906", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.1723, -28.0974, -27.9683, -27.7926, -29.0922, -29.0498, -36.8893", \ + "-36.7469, -36.672, -36.5429, -36.3672, -37.6667, -41.6219, -45.4639", \ + "-55.8533, -55.7784, -55.6493, -54.3555, -52.7757, -56.7308, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.1378, -16.0604, -15.9313, -14.5483, -13.0576, -17.0128, -20.8548", \ + "-17.3321, -17.2573, -17.1282, -16.9525, -14.2545, -18.2097, -26.0492", \ + "-19.6711, -19.5962, -19.4671, -19.2914, -16.5934, -20.5486, -24.3906", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.1723, -28.0974, -27.9683, -27.7926, -29.0922, -29.0498, -36.8893", \ + "-36.7469, -36.672, -36.5429, -36.3672, -37.6667, -41.6219, -45.4639", \ + "-55.8533, -55.7784, -55.6493, -54.3555, -52.7757, -56.7308, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5051, 40.2197, 42.8003, 51.5546, 69.4515, 100.512", \ + "36.8971, 38.2714, 40.986, 46.2787, 56.3184, 70.2178, 101.279", \ + "38.3576, 39.732, 42.4465, 47.7392, 53.7815, 71.6784, 102.739", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 46.4427, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "36.1308, 37.5051, 40.2197, 42.8003, 51.5546, 69.4515, 100.512", \ + "36.8971, 38.2714, 40.986, 46.2787, 56.3184, 70.2178, 101.279", \ + "38.3576, 39.732, 42.4465, 47.7392, 53.7815, 71.6784, 102.739", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 46.4427, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000948621, 0.000932065, 0.000972078, 0.00100438, 0.0010643, 0.00107774, 0.00109602" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00133495, 0.0013506, 0.00124405, 0.00122593, 0.00118452, 0.00119113, 0.00117528" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0930517, 0.0939377, 0.0936508, 0.0936931, 0.0937096, 0.0934051, 0.0931906" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0910903, -0.0906885, -0.0912752, -0.0904291, -0.0917342, -0.0908916, -0.0907686" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.039754, 0.0392986, 0.0434608, 0.0611947, 0.10956, 0.222062, 0.461279" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237601, 0.237036, 0.244064, 0.268124, 0.325041, 0.446376, 0.701459" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218847, 0.21835, 0.221732, 0.240613, 0.288258, 0.40104, 0.640107" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0581521, 0.057889, 0.0646227, 0.0886432, 0.144689, 0.266779, 0.521743" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16732, 1.16609, 1.16864, 1.18653, 1.23902, 1.35379, 1.59766" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.921371, 0.920582, 0.926441, 0.952236, 1.01019, 1.13501, 1.39852" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16008, 1.15796, 1.16091, 1.17875, 1.23033, 1.34629, 1.59021" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.938652, 0.938093, 0.944345, 0.969467, 1.02779, 1.15314, 1.4169" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.527132; + rise_capacitance : 0.52165; + rise_capacitance_range (0.456764, 0.52165); + fall_capacitance : 0.527132; + fall_capacitance_range (0.450094, 0.527132); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.3404, -16.2311, -16.0411, -14.5483, -13.0758, -16.6867, -20.9817", \ + "-13.1609, -13.0541, -12.8641, -16.5761, -13.8963, -17.5072, -21.8022", \ + "-14.7663, -14.6595, -14.4695, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.3404, -16.2311, -16.0411, -14.5483, -13.0758, -16.6867, -20.9817", \ + "-13.1609, -13.0541, -12.8641, -16.5761, -13.8963, -17.5072, -21.8022", \ + "-14.7663, -14.6595, -14.4695, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0846, 38.3098, 40.7388, 42.8003, 54.721, 71.7841, 100.494", \ + "37.6901, 38.9153, 41.3443, 46.1179, 55.3265, 72.3896, 101.1", \ + "38.8537, 40.0788, 42.5079, 47.2814, 56.49, 73.5531, 102.263", \ + "38.1812, 42.216, 44.6451, 46.4673, 58.6272, 75.6904, 101.431", \ + "41.4686, 42.6937, 45.1228, 49.8963, 59.1049, 76.168, 104.878", \ + "42.4238, 43.649, 46.078, 50.8516, 60.0602, 77.1233, 105.833", \ + "40.3369, 41.562, 43.9911, 49.8828, 61.9707, 79.0339, 103.746" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 63.0432, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0846, 38.3098, 40.7388, 42.8003, 54.721, 71.7841, 100.494", \ + "37.6901, 38.9153, 41.3443, 46.1179, 55.3265, 72.3896, 101.1", \ + "38.8537, 40.0788, 42.5079, 47.2814, 56.49, 73.5531, 102.263", \ + "38.1812, 42.216, 44.6451, 46.4673, 58.6272, 75.6904, 101.431", \ + "41.4686, 42.6937, 45.1228, 49.8963, 59.1049, 76.168, 104.878", \ + "42.4238, 43.649, 46.078, 50.8516, 60.0602, 77.1233, 105.833", \ + "40.3369, 41.562, 43.9911, 49.8828, 61.9707, 79.0339, 103.746" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 63.0432, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0316599, -0.0322677, -0.0333822, -0.0337569, -0.0339422, -0.0338219, -0.0336679" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0378241, 0.038154, 0.0379335, 0.0384132, 0.038091, 0.0381944, 0.0380049" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0894637, 0.0889989, 0.0895924, 0.08948, 0.0892589, 0.0880888, 0.087596" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.084424, -0.0848256, -0.0846171, -0.0855072, -0.0847146, -0.0849712, -0.0846103" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0367014, 0.0375907, 0.0435537, 0.0639982, 0.118013, 0.243407, 0.509771" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.272048, 0.26947, 0.276112, 0.299535, 0.360344, 0.496144, 0.779186" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.252857, 0.254067, 0.259952, 0.280534, 0.334053, 0.45959, 0.725082" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0536982, 0.0515161, 0.0573734, 0.0807778, 0.141023, 0.276966, 0.56075" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16697, 1.16739, 1.17291, 1.19326, 1.25014, 1.37999, 1.65338" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.950371, 0.947813, 0.953291, 0.977267, 1.03971, 1.18118, 1.47095" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19717, 1.19726, 1.20271, 1.22272, 1.27921, 1.40893, 1.68294" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.929101, 0.926073, 0.931767, 0.955644, 1.01891, 1.16056, 1.45086" \ + ); + } + } + } + } + cell (ICGx4_ASAP7_75t_L) { + area : 0.30618; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2171.54; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2460.27; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2282.71; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2571.44; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2273.92; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2562.65; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2406.22; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3073.3; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2339.28; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2450.46; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2441.67; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2223.95; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2438.12; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.3346, 11.4846, 13.4532, 16.8225, 22.9906, 34.9594, 58.7543", \ + "11.7035, 12.8382, 14.7821, 18.1499, 24.3175, 36.2846, 60.086", \ + "14.0605, 15.2172, 17.1904, 20.559, 26.7529, 38.7223, 62.5015", \ + "17.5291, 18.7195, 20.7584, 24.2485, 30.4882, 42.4892, 66.2733", \ + "22.8723, 24.1157, 26.2694, 29.8781, 36.2221, 48.2247, 72.0197", \ + "31.0868, 32.4633, 34.7799, 38.6429, 45.2353, 57.3818, 81.1594", \ + "44.1111, 45.6372, 48.1916, 52.4446, 59.4585, 72.1302, 96.3147" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.81995, 6.4134, 9.5583, 15.8666, 28.7131, 54.8676, 107.737", \ + "4.87571, 6.46532, 9.6121, 15.9019, 28.7308, 54.8843, 107.738", \ + "5.45898, 7.004, 10.042, 16.229, 28.9096, 54.9507, 107.792", \ + "6.32751, 7.82307, 10.8649, 16.9092, 29.3878, 55.2326, 107.912", \ + "7.78399, 9.18481, 12.1021, 17.9716, 30.5215, 55.797, 108.147", \ + "10.2102, 11.564, 14.3842, 20.135, 32.0669, 57.2391, 108.961", \ + "14.092, 15.386, 18.1342, 23.6855, 35.1976, 59.9529, 110.847" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.466, 11.5995, 13.4939, 16.7274, 22.7961, 34.7028, 58.4895", \ + "11.8236, 12.9373, 14.8068, 18.0361, 24.0871, 36.0109, 59.7879", \ + "14.1849, 15.296, 17.164, 20.3669, 26.3912, 38.3042, 62.0867", \ + "17.6532, 18.733, 20.5766, 23.7929, 29.831, 41.7605, 65.5404", \ + "22.7584, 23.8386, 25.6693, 28.8463, 34.8523, 46.7603, 70.591", \ + "29.9555, 31.0547, 32.8733, 36.0237, 41.9519, 53.8519, 77.6296", \ + "40.4917, 41.531, 43.3665, 46.532, 52.4217, 64.246, 87.9949" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.84443, 6.36029, 9.3449, 15.4998, 28.2856, 54.483, 107.471", \ + "4.86459, 6.3781, 9.35154, 15.4872, 28.2788, 54.4807, 107.479", \ + "5.31636, 6.75238, 9.59826, 15.6149, 28.3255, 54.4943, 107.465", \ + "5.89437, 7.25129, 10.0117, 15.9223, 28.5057, 54.5757, 107.516", \ + "6.4968, 7.77287, 10.4237, 16.2357, 28.8425, 54.6858, 107.574", \ + "6.7886, 8.0997, 10.7069, 16.3966, 28.7831, 54.8164, 107.809", \ + "6.9093, 8.2711, 10.908, 16.5855, 28.898, 54.882, 108.679" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.575, 11.8047, 13.9478, 17.7067, 24.6331, 38.0554, 64.7358", \ + "11.7981, 13.0138, 15.1398, 18.8963, 25.8165, 39.2483, 65.9283", \ + "13.4807, 14.7006, 16.8473, 20.645, 27.5836, 41.0161, 67.6877", \ + "15.5824, 16.7738, 18.9146, 22.7, 29.6766, 43.1919, 69.8774", \ + "18.1269, 19.375, 21.5201, 25.3147, 32.2689, 45.6602, 72.4862", \ + "20.7537, 22.0754, 24.3513, 28.2349, 35.2493, 48.7676, 75.3862", \ + "22.4455, 23.9101, 26.385, 30.5391, 37.8191, 51.5596, 78.6311" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.49538, 7.4195, 11.1626, 18.5999, 33.7001, 64.3698, 126.267", \ + "5.5515, 7.47438, 11.2115, 18.6416, 33.7198, 64.3807, 126.269", \ + "6.00177, 7.93311, 11.5996, 18.9414, 33.9182, 64.472, 126.292", \ + "6.59238, 8.43791, 12.0668, 19.4163, 34.2923, 64.741, 126.473", \ + "7.78013, 9.48543, 12.986, 20.063, 35.0328, 65.0789, 126.713", \ + "9.87562, 11.4936, 14.8334, 21.6261, 35.9497, 65.8293, 127.756", \ + "13.4246, 14.8327, 18.1065, 24.6613, 38.6393, 68.0846, 130.102" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.3346, 11.4846, 13.4532, 16.8225, 22.9906, 34.9594, 58.7543", \ + "11.7035, 12.8382, 14.7821, 18.1499, 24.3175, 36.2846, 60.086", \ + "14.0605, 15.2172, 17.1904, 20.559, 26.7529, 38.7223, 62.5015", \ + "17.5291, 18.7195, 20.7584, 24.2485, 30.4882, 42.4892, 66.2733", \ + "22.8723, 24.1157, 26.2694, 29.8781, 36.2221, 48.2247, 72.0197", \ + "31.0868, 32.4633, 34.7799, 38.6429, 45.2353, 57.3818, 81.1594", \ + "44.1111, 45.6372, 48.1916, 52.4446, 59.4585, 72.1302, 96.3147" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.81995, 6.4134, 9.5583, 15.8666, 28.7131, 54.8676, 107.737", \ + "4.87571, 6.46532, 9.6121, 15.9019, 28.7308, 54.8843, 107.738", \ + "5.45898, 7.004, 10.042, 16.229, 28.9096, 54.9507, 107.792", \ + "6.32751, 7.82307, 10.8649, 16.9092, 29.3878, 55.2326, 107.912", \ + "7.78399, 9.18481, 12.1021, 17.9716, 30.5215, 55.797, 108.147", \ + "10.2102, 11.564, 14.3842, 20.135, 32.0669, 57.2391, 108.961", \ + "14.092, 15.386, 18.1342, 23.6855, 35.1976, 59.9529, 110.847" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.24012, 1.23582, 1.24474, 1.25644, 1.26629, 1.27192, 1.27503", \ + "1.24403, 1.23535, 1.2412, 1.25238, 1.26271, 1.26931, 1.27302", \ + "1.29833, 1.2795, 1.27417, 1.2819, 1.29088, 1.29892, 1.30365", \ + "1.45067, 1.41584, 1.39395, 1.38722, 1.39112, 1.39864, 1.40565", \ + "1.78513, 1.72811, 1.68276, 1.65664, 1.652, 1.64928, 1.65596", \ + "2.4775, 2.3958, 2.31005, 2.25258, 2.22248, 2.20707, 2.20004", \ + "3.86637, 3.75533, 3.61692, 3.49779, 3.42074, 3.37317, 3.35021" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.505768, 0.501521, 0.510731, 0.522697, 0.532574, 0.538141, 0.541948", \ + "0.508229, 0.500368, 0.502444, 0.513357, 0.523612, 0.530141, 0.533952", \ + "0.564782, 0.545761, 0.540816, 0.535637, 0.550042, 0.557137, 0.553834", \ + "0.714642, 0.679313, 0.664296, 0.65367, 0.65691, 0.6625, 0.660615", \ + "1.04531, 0.985477, 0.943133, 0.921915, 0.950026, 0.918606, 0.90511", \ + "1.73833, 1.65625, 1.57071, 1.51078, 1.51172, 1.52728, 1.4691", \ + "3.12925, 3.01952, 2.88166, 2.75826, 2.65319, 2.66939, 2.62164" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.52655, 1.5227, 1.52916, 1.54019, 1.55102, 1.55654, 1.55895", \ + "1.528, 1.52237, 1.52746, 1.53854, 1.54918, 1.55556, 1.55948", \ + "1.58196, 1.56689, 1.56261, 1.57131, 1.58114, 1.58844, 1.59294", \ + "1.72665, 1.70288, 1.6892, 1.68442, 1.6911, 1.6984, 1.70302", \ + "2.02934, 1.99618, 1.9694, 1.9555, 1.95176, 1.95551, 1.96173", \ + "2.55088, 2.51428, 2.48145, 2.45183, 2.43557, 2.43434, 2.43746", \ + "3.49976, 3.45829, 3.41559, 3.3795, 3.35056, 3.33779, 3.33745" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.906266, 0.90264, 0.911193, 0.922901, 0.933317, 0.937182, 0.942553", \ + "0.90872, 0.900619, 0.904343, 0.914893, 0.925259, 0.931515, 0.935373", \ + "0.962722, 0.948601, 0.946618, 0.949647, 0.955558, 0.959151, 0.964484", \ + "1.10898, 1.08232, 1.06962, 1.0704, 1.07589, 1.08033, 1.08713", \ + "1.4111, 1.37654, 1.34979, 1.34416, 1.34989, 1.33515, 1.34693", \ + "1.928, 1.89276, 1.861, 1.83009, 1.81474, 1.82789, 1.83364", \ + "2.87838, 2.83585, 2.79405, 2.75797, 2.73862, 2.73703, 2.85676" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.48903; + rise_capacitance : 2.42225; + rise_capacitance_range (1.71887, 2.42225); + fall_capacitance : 2.48903; + fall_capacitance_range (1.80722, 2.48903); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.2588, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.892781, 0.896637, 0.918884, 0.984577, 1.15821, 1.60083, 2.62676" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258499, 0.261608, 0.284427, 0.348532, 0.521382, 0.962116, 1.98907" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.196887, -0.194896, -0.179696, -0.136954, -0.0349205, 0.184205, 0.636854" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.434307, 0.439534, 0.46131, 0.516502, 0.628617, 0.863784, 1.34057" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342634, 0.342993, 0.357227, 0.401514, 0.502877, 0.724321, 1.17617" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.105048, -0.0993209, -0.0764012, -0.0257176, 0.088287, 0.322066, 0.800629" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.48855; + rise_capacitance : 0.488546; + rise_capacitance_range (0.412, 0.488546); + fall_capacitance : 0.48855; + fall_capacitance_range (0.35086, 0.48855); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.6846, -11.7233, -7.81071, -10.9375, -12.5277, -14.047, -19.0051", \ + "-12.839, -12.8778, -12.9627, -9.16503, -13.6822, -15.2014, -20.1596", \ + "-15.0962, -15.1349, -11.2223, -11.4221, -15.9393, -17.4586, -22.4167", \ + "-18.3325, -15.4443, -15.5292, -18.5938, -16.2487, -21.7655, -25.5957", \ + "-23.1902, -23.2289, -23.3138, -23.5137, -24.0334, -25.5526, -30.5108", \ + "-31.4451, -31.4838, -31.5688, -31.7686, -32.2883, -33.8075, -38.7657", \ + "-50.6775, -50.7162, -46.8036, -49.8828, -47.5232, -53.0399, -57.998" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.6846, -11.7233, -7.81071, -10.9375, -12.5277, -14.047, -19.0051", \ + "-12.839, -12.8778, -12.9627, -9.16503, -13.6822, -15.2014, -20.1596", \ + "-15.0962, -15.1349, -11.2223, -11.4221, -15.9393, -17.4586, -22.4167", \ + "-18.3325, -15.4443, -15.5292, -18.5938, -16.2487, -21.7655, -25.5957", \ + "-23.1902, -23.2289, -23.3138, -23.5137, -24.0334, -25.5526, -30.5108", \ + "-31.4451, -31.4838, -31.5688, -31.7686, -32.2883, -33.8075, -38.7657", \ + "-50.6775, -50.7162, -46.8036, -49.8828, -47.5232, -53.0399, -57.998" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.789, 24.6792, 26.4331, 27.0186, 36.2205, 47.3065, 62.7453", \ + "24.4885, 25.3786, 27.1326, 30.5353, 36.92, 48.006, 63.4447", \ + "25.8206, 26.7107, 28.4647, 31.8674, 38.2521, 49.3381, 64.7768", \ + "25.2515, 25.1101, 26.8641, 32.0962, 40.649, 51.735, 65.0243", \ + "27.6653, 28.5554, 30.3094, 33.7121, 40.0968, 51.1828, 66.6215", \ + "26.5608, 27.451, 29.205, 32.6077, 38.9924, 50.0784, 65.5171", \ + "24.352, 25.2421, 22.9986, 27.5195, 36.7835, 47.8695, 63.3083" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7319, 21.8176, 22.0028, 19.502, 19.5055, 26.5393, 36.1642", \ + "22.9411, 23.0268, 23.2121, 19.6407, 20.7148, 27.7486, 37.3735", \ + "25.3064, 25.3921, 25.5774, 22.0059, 23.08, 30.1139, 39.7388", \ + "26.897, 25.9121, 26.0974, 27.6562, 27.5976, 30.6339, 41.3867", \ + "34.0094, 34.0951, 34.2803, 34.7064, 35.7805, 38.8168, 44.4442", \ + "46.967, 43.0552, 43.2405, 43.6666, 44.7407, 47.777, 53.4044", \ + "63.2468, 63.3325, 63.5177, 61.0645, 61.0204, 64.0567, 69.6841" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.789, 24.6792, 26.4331, 27.0186, 36.2205, 47.3065, 62.7453", \ + "24.4885, 25.3786, 27.1326, 30.5353, 36.92, 48.006, 63.4447", \ + "25.8206, 26.7107, 28.4647, 31.8674, 38.2521, 49.3381, 64.7768", \ + "25.2515, 25.1101, 26.8641, 32.0962, 40.649, 51.735, 65.0243", \ + "27.6653, 28.5554, 30.3094, 33.7121, 40.0968, 51.1828, 66.6215", \ + "26.5608, 27.451, 29.205, 32.6077, 38.9924, 50.0784, 65.5171", \ + "24.352, 25.2421, 22.9986, 27.5195, 36.7835, 47.8695, 63.3083" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7319, 21.8176, 22.0028, 19.502, 19.5055, 26.5393, 36.1642", \ + "22.9411, 23.0268, 23.2121, 19.6407, 20.7148, 27.7486, 37.3735", \ + "25.3064, 25.3921, 25.5774, 22.0059, 23.08, 30.1139, 39.7388", \ + "26.897, 25.9121, 26.0974, 27.6562, 27.5976, 30.6339, 41.3867", \ + "34.0094, 34.0951, 34.2803, 34.7064, 35.7805, 38.8168, 44.4442", \ + "46.967, 43.0552, 43.2405, 43.6666, 44.7407, 47.777, 53.4044", \ + "63.2468, 63.3325, 63.5177, 61.0645, 61.0204, 64.0567, 69.6841" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000390519, 0.000401065, 0.000452714, 0.000453495, 0.000506194, 0.0005288, 0.000542684" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000780479, 0.000716497, 0.000640559, 0.000633968, 0.000607045, 0.000629818, 0.000607512" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0776871, 0.078113, 0.0778736, 0.0783293, 0.0783559, 0.0779648, 0.0776699" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0768989, -0.0761212, -0.0766735, -0.0769645, -0.0770956, -0.0765959, -0.0763654" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0392358, 0.0395405, 0.0433397, 0.0611431, 0.109286, 0.221602, 0.460549" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240152, 0.239667, 0.246799, 0.271174, 0.326874, 0.448708, 0.70342" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.200824, 0.201271, 0.204744, 0.222411, 0.270956, 0.383251, 0.621957" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0778943, 0.0779774, 0.0847267, 0.108791, 0.164333, 0.286397, 0.541104" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525515, 0.523871, 0.526382, 0.543918, 0.594388, 0.710561, 0.967182" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618566, 0.6171, 0.623839, 0.648951, 0.708419, 0.837819, 1.11178" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.572778, 0.570611, 0.572896, 0.590801, 0.640567, 0.757615, 1.01416" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573572, 0.572954, 0.579287, 0.604622, 0.663459, 0.792919, 1.06624" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.489566; + rise_capacitance : 0.485402; + rise_capacitance_range (0.421889, 0.485402); + fall_capacitance : 0.489566; + fall_capacitance_range (0.416156, 0.489566); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.8091, -11.8283, -11.8759, -10.9375, -12.4196, -13.8322, -19.0149", \ + "-12.628, -12.6472, -8.69739, -8.82951, -13.2386, -14.6511, -19.8338", \ + "-14.226, -14.2452, -10.2953, -10.4274, -14.8365, -16.2491, -21.4318", \ + "-16.1914, -13.2837, -13.3313, -16.3281, -13.875, -19.2851, -23.3398", \ + "-18.697, -18.7162, -18.7638, -18.896, -19.3075, -20.7201, -25.9028", \ + "-27.0041, -27.0233, -27.0709, -27.203, -27.6146, -29.0272, -34.2099", \ + "-37.384, -37.4033, -37.4509, -36.4649, -37.9946, -39.4072, -44.5898" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -21.0886, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.8091, -11.8283, -11.8759, -10.9375, -12.4196, -13.8322, -19.0149", \ + "-12.628, -12.6472, -8.69739, -8.82951, -13.2386, -14.6511, -19.8338", \ + "-14.226, -14.2452, -10.2953, -10.4274, -14.8365, -16.2491, -21.4318", \ + "-16.1914, -13.2837, -13.3313, -16.3281, -13.875, -19.2851, -23.3398", \ + "-18.697, -18.7162, -18.7638, -18.896, -19.3075, -20.7201, -25.9028", \ + "-27.0041, -27.0233, -27.0709, -27.203, -27.6146, -29.0272, -34.2099", \ + "-37.384, -37.4033, -37.4509, -36.4649, -37.9946, -39.4072, -44.5898" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "24.0146, 24.8633, 26.5436, 27.0186, 36.1474, 47.678, 62.3716", \ + "24.6654, 25.5141, 27.1944, 30.4868, 36.7983, 48.3288, 63.0225", \ + "25.9167, 26.7654, 28.4457, 31.738, 38.0496, 49.5801, 64.2738", \ + "25.2515, 29.0662, 30.7465, 31.6451, 40.3503, 51.8809, 67.8209", \ + "28.014, 28.8627, 30.543, 33.8353, 40.1469, 51.6774, 70.3686", \ + "29.9107, 30.7594, 32.4397, 35.732, 42.0436, 53.5741, 68.2678", \ + "28.1709, 29.0196, 30.6999, 35.9922, 40.3037, 51.8343, 70.5254" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.8564, 21.9226, 22.0706, 19.502, 23.3949, 26.3245, 36.174", \ + "22.7263, 22.7925, 22.9405, 19.3013, 24.2649, 27.1945, 37.0439", \ + "24.4286, 24.4948, 20.6453, 21.0036, 21.9697, 28.8968, 38.7462", \ + "24.7559, 23.7515, 23.8995, 25.3906, 25.2238, 28.1535, 39.1309", \ + "29.5922, 29.6584, 29.8064, 30.1647, 31.1308, 34.0604, 43.9098", \ + "39.0001, 39.0663, 39.2143, 35.5751, 36.5411, 39.4708, 49.3202", \ + "52.1896, 52.2558, 48.4063, 49.8828, 49.7307, 52.6603, 58.5123" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "24.0146, 24.8633, 26.5436, 27.0186, 36.1474, 47.678, 62.3716", \ + "24.6654, 25.5141, 27.1944, 30.4868, 36.7983, 48.3288, 63.0225", \ + "25.9167, 26.7654, 28.4457, 31.738, 38.0496, 49.5801, 64.2738", \ + "25.2515, 29.0662, 30.7465, 31.6451, 40.3503, 51.8809, 67.8209", \ + "28.014, 28.8627, 30.543, 33.8353, 40.1469, 51.6774, 70.3686", \ + "29.9107, 30.7594, 32.4397, 35.732, 42.0436, 53.5741, 68.2678", \ + "28.1709, 29.0196, 30.6999, 35.9922, 40.3037, 51.8343, 70.5254" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.8564, 21.9226, 22.0706, 19.502, 23.3949, 26.3245, 36.174", \ + "22.7263, 22.7925, 22.9405, 19.3013, 24.2649, 27.1945, 37.0439", \ + "24.4286, 24.4948, 20.6453, 21.0036, 21.9697, 28.8968, 38.7462", \ + "24.7559, 23.7515, 23.8995, 25.3906, 25.2238, 28.1535, 39.1309", \ + "29.5922, 29.6584, 29.8064, 30.1647, 31.1308, 34.0604, 43.9098", \ + "39.0001, 39.0663, 39.2143, 35.5751, 36.5411, 39.4708, 49.3202", \ + "52.1896, 52.2558, 48.4063, 49.8828, 49.7307, 52.6603, 58.5123" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0322449, -0.0333201, -0.033846, -0.0342339, -0.0344218, -0.0340909, -0.0341753" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0375227, 0.0375931, 0.0373476, 0.0377458, 0.0376792, 0.0375891, 0.0373992" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0800484, 0.0799601, 0.0797514, 0.0796203, 0.0793627, 0.0782014, 0.0777913" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.07611, -0.0760256, -0.0759583, -0.0767488, -0.0763102, -0.076265, -0.0759374" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0362824, 0.0369056, 0.0431862, 0.0634261, 0.117227, 0.242369, 0.508486" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.275694, 0.273418, 0.279696, 0.301952, 0.361585, 0.497805, 0.782144" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240796, 0.24088, 0.247983, 0.267993, 0.321559, 0.446949, 0.712083" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0691214, 0.0668132, 0.0725947, 0.0953751, 0.155107, 0.290995, 0.575218" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521574, 0.520999, 0.526327, 0.546195, 0.601588, 0.732201, 1.01737" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.654061, 0.651423, 0.656881, 0.68044, 0.742856, 0.885504, 1.18702" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611681, 0.610847, 0.615839, 0.636464, 0.691515, 0.822343, 1.10715" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564819, 0.562207, 0.566739, 0.59181, 0.653664, 0.795986, 1.09742" \ + ); + } + } + } + } + cell (ICGx5_ASAP7_75t_L) { + area : 0.32076; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2392.21; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2788.54; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2503.38; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2899.71; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2494.59; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2890.92; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2626.9; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3401.57; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2559.96; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2671.13; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2662.34; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2444.62; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2694.66; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "11.3631, 12.3649, 14.0869, 17.0078, 22.1933, 31.9809, 51.2406", \ + "12.7235, 13.7142, 15.4289, 18.3515, 23.541, 33.3185, 52.5913", \ + "15.2611, 16.2671, 17.9782, 20.9014, 26.0968, 35.8273, 55.0971", \ + "19.0437, 20.0782, 21.8542, 24.8785, 30.1497, 39.9686, 59.2237", \ + "24.7857, 25.8473, 27.7169, 30.8552, 36.2962, 46.1391, 65.444", \ + "33.5942, 34.7692, 36.7725, 40.1213, 45.8187, 55.7924, 75.0653", \ + "47.4725, 48.7695, 50.9787, 54.6126, 60.8006, 71.3362, 90.9952" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.20658, 6.49631, 9.09981, 14.284, 24.7667, 46.1673, 89.6233", \ + "5.22728, 6.5262, 9.13302, 14.3085, 24.7743, 46.1743, 89.6245", \ + "5.78781, 7.0182, 9.53562, 14.6059, 24.9692, 46.2713, 89.6585", \ + "6.80273, 7.98034, 10.4429, 15.4192, 25.5919, 46.6314, 89.8332", \ + "8.40106, 9.49717, 11.8634, 16.6688, 26.711, 47.4773, 90.225", \ + "11.1149, 12.1541, 14.3658, 19.0922, 28.632, 48.8322, 91.466", \ + "15.4104, 16.3673, 18.4506, 22.9376, 32.3401, 52.2133, 93.4219" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "11.4311, 12.4134, 14.0364, 16.7909, 21.7714, 31.4386, 50.6645", \ + "12.7911, 13.74, 15.3521, 18.0945, 23.0739, 32.7429, 51.9776", \ + "15.2847, 16.2031, 17.7969, 20.4984, 25.4604, 35.1244, 54.352", \ + "18.8431, 19.7626, 21.3395, 24.0289, 28.9926, 38.6804, 57.9194", \ + "23.9935, 24.9062, 26.4622, 29.1583, 34.1218, 43.7858, 63.0039", \ + "31.138, 32.0622, 33.6111, 36.2708, 41.2501, 50.8849, 70.1395", \ + "41.606, 42.5277, 44.0371, 46.7453, 51.6685, 61.2779, 80.4922" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.06371, 6.28416, 8.7066, 13.6849, 24.0757, 45.527, 89.1517", \ + "5.02592, 6.25338, 8.69013, 13.6588, 24.058, 45.5211, 89.1425", \ + "5.32958, 6.48763, 8.83837, 13.7289, 24.0827, 45.5133, 89.1498", \ + "5.76524, 6.89111, 9.19758, 14.0732, 24.3067, 45.6194, 89.19", \ + "6.10915, 7.23423, 9.53463, 14.3551, 24.4407, 46.0477, 89.2848", \ + "6.3257, 7.4453, 9.7639, 14.5139, 24.613, 46.0648, 89.7701", \ + "6.491, 7.6332, 9.9833, 14.7604, 24.8274, 45.9287, 89.5159" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "11.6315, 12.6833, 14.5392, 17.7719, 23.561, 34.5507, 56.1815", \ + "12.8853, 13.9246, 15.7703, 18.9976, 24.7952, 35.7798, 57.4164", \ + "14.8398, 15.8683, 17.7276, 20.9589, 26.7572, 37.734, 59.3489", \ + "17.2561, 18.2927, 20.1169, 23.3371, 29.1434, 40.1913, 61.8361", \ + "20.2335, 21.2806, 23.1331, 26.3644, 32.1155, 43.1124, 64.8333", \ + "23.4467, 24.5655, 26.5098, 29.821, 35.7157, 46.7923, 68.2808", \ + "25.9846, 27.2197, 29.3078, 32.8961, 38.9445, 50.2424, 72.1862" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.84775, 7.41453, 10.5198, 16.6084, 28.8857, 53.8414, 104.379", \ + "5.86468, 7.44602, 10.551, 16.6431, 28.9011, 53.8506, 104.38", \ + "6.34413, 7.86399, 10.9215, 16.9211, 29.0961, 53.9561, 104.428", \ + "6.99616, 8.4583, 11.4864, 17.4252, 29.5836, 54.2696, 104.637", \ + "8.32512, 9.6619, 12.4964, 18.2543, 30.1069, 54.8576, 104.908", \ + "10.7179, 11.903, 14.5354, 20.048, 31.5577, 55.7214, 106.807", \ + "14.6544, 15.695, 18.1136, 23.4206, 34.5007, 58.0647, 107.284" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "11.3631, 12.3649, 14.0869, 17.0078, 22.1933, 31.9809, 51.2406", \ + "12.7235, 13.7142, 15.4289, 18.3515, 23.541, 33.3185, 52.5913", \ + "15.2611, 16.2671, 17.9782, 20.9014, 26.0968, 35.8273, 55.0971", \ + "19.0437, 20.0782, 21.8542, 24.8785, 30.1497, 39.9686, 59.2237", \ + "24.7857, 25.8473, 27.7169, 30.8552, 36.2962, 46.1391, 65.444", \ + "33.5942, 34.7692, 36.7725, 40.1213, 45.8187, 55.7924, 75.0653", \ + "47.4725, 48.7695, 50.9787, 54.6126, 60.8006, 71.3362, 90.9952" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.20658, 6.49631, 9.09981, 14.284, 24.7667, 46.1673, 89.6233", \ + "5.22728, 6.5262, 9.13302, 14.3085, 24.7743, 46.1743, 89.6245", \ + "5.78781, 7.0182, 9.53562, 14.6059, 24.9692, 46.2713, 89.6585", \ + "6.80273, 7.98034, 10.4429, 15.4192, 25.5919, 46.6314, 89.8332", \ + "8.40106, 9.49717, 11.8634, 16.6688, 26.711, 47.4773, 90.225", \ + "11.1149, 12.1541, 14.3658, 19.0922, 28.632, 48.8322, 91.466", \ + "15.4104, 16.3673, 18.4506, 22.9376, 32.3401, 52.2133, 93.4219" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.44007, 1.41763, 1.4123, 1.42027, 1.43012, 1.43849, 1.44161", \ + "1.44159, 1.41585, 1.40729, 1.41425, 1.42575, 1.43403, 1.43943", \ + "1.50458, 1.46806, 1.44643, 1.44454, 1.45292, 1.46338, 1.46897", \ + "1.67736, 1.62033, 1.57625, 1.5546, 1.55294, 1.56029, 1.56828", \ + "2.04239, 1.96691, 1.88557, 1.83572, 1.81535, 1.80769, 1.8102", \ + "2.7962, 2.69258, 2.56389, 2.46222, 2.39941, 2.36795, 2.35486", \ + "4.2757, 4.14807, 3.9639, 3.77645, 3.64087, 3.55875, 3.51274" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.70496, 0.682189, 0.677052, 0.684948, 0.696804, 0.704589, 0.70826", \ + "0.704595, 0.678283, 0.669372, 0.676197, 0.687707, 0.696019, 0.701503", \ + "0.768715, 0.733337, 0.709361, 0.702458, 0.708693, 0.691803, 0.698515", \ + "0.940768, 0.88686, 0.841313, 0.819051, 0.818345, 0.816693, 0.817712", \ + "1.30561, 1.22534, 1.1475, 1.09664, 1.09699, 1.08884, 1.08056", \ + "2.05924, 1.95521, 1.82621, 1.7227, 1.66687, 1.61933, 1.66014", \ + "3.53831, 3.41288, 3.22708, 3.03022, 2.90152, 2.85371, 2.78449" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.71114, 1.69515, 1.69375, 1.70286, 1.71471, 1.72216, 1.72641", \ + "1.71228, 1.69445, 1.69046, 1.69898, 1.71096, 1.72025, 1.72552", \ + "1.76512, 1.74044, 1.72804, 1.7304, 1.74093, 1.75085, 1.75753", \ + "1.909, 1.87757, 1.85191, 1.84268, 1.84599, 1.85394, 1.86093", \ + "2.20348, 2.16657, 2.13018, 2.11051, 2.10174, 2.10623, 2.11463", \ + "2.71932, 2.67472, 2.63257, 2.59835, 2.58093, 2.57721, 2.58367", \ + "3.66691, 3.61867, 3.56583, 3.52247, 3.49319, 3.47616, 3.47523" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09272, 1.07704, 1.07692, 1.0858, 1.09554, 1.10573, 1.11002", \ + "1.09121, 1.0728, 1.06829, 1.07648, 1.08834, 1.09763, 1.10291", \ + "1.14741, 1.12073, 1.11089, 1.1097, 1.11712, 1.12502, 1.13122", \ + "1.29049, 1.25945, 1.23645, 1.23307, 1.23432, 1.23495, 1.24108", \ + "1.58508, 1.54487, 1.51065, 1.50212, 1.49322, 1.54509, 1.49116", \ + "2.09831, 2.05324, 2.01084, 1.9726, 1.96163, 1.99834, 2.0479", \ + "3.04429, 2.99641, 2.94293, 2.90384, 2.88825, 2.88072, 2.91339" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.48878; + rise_capacitance : 2.42201; + rise_capacitance_range (1.721, 2.42201); + fall_capacitance : 2.48878; + fall_capacitance_range (1.80458, 2.48878); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.76562, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.2588, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.894719, 0.896882, 0.919025, 0.984642, 1.15824, 1.60092, 2.62706" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.259328, 0.261962, 0.284634, 0.34865, 0.521479, 0.962274, 1.98946" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.196892, -0.194866, -0.179605, -0.136828, -0.0357115, 0.184271, 0.636899" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.434065, 0.439689, 0.461401, 0.516522, 0.628631, 0.863834, 1.34065" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.34102, 0.343556, 0.357281, 0.401586, 0.505464, 0.724346, 1.17617" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.10279, -0.0991466, -0.0762824, -0.0256753, 0.0883449, 0.322159, 0.80076" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.488433; + rise_capacitance : 0.488427; + rise_capacitance_range (0.411684, 0.488427); + fall_capacitance : 0.488433; + fall_capacitance_range (0.350862, 0.488433); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.2012, -11.2183, -11.262, -10.2979, -11.7932, -13.2176, -18.5217", \ + "-12.4328, -12.4499, -12.4936, -12.6196, -13.0248, -14.4492, -19.7533", \ + "-14.8361, -14.8532, -14.897, -15.0229, -15.4281, -16.8525, -22.1567", \ + "-18.3325, -15.4227, -15.4664, -18.4375, -15.9976, -21.4195, -25.5957", \ + "-23.5809, -23.598, -23.6418, -23.7677, -24.1729, -25.5973, -30.9014", \ + "-32.0995, -32.1166, -32.1604, -32.2863, -32.6916, -34.116, -39.4201", \ + "-49.7888, -49.8059, -49.8497, -48.8477, -50.3809, -51.8052, -57.1094" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.62305, -9.59881, -11.4638, -13.7573, -18.6866, -22.3674, -13.739", \ + "-9.13154, -10.1073, -11.9723, -15.3559, -19.1951, -22.8759, -14.2475", \ + "-10.0999, -11.0757, -12.9406, -16.3243, -20.1634, -23.8442, -15.2159", \ + "-10.8105, -12.8178, -14.6828, -16.9141, -21.9056, -21.5889, -15.8203", \ + "-11.4354, -12.4111, -14.2761, -17.6597, -21.4989, -25.1797, -16.5513", \ + "-10.622, -11.5978, -13.4628, -16.8464, -20.6856, -24.3664, -15.738", \ + "-4.99787, -5.97363, -7.83859, -14.1016, -15.0614, -18.7422, -10.1138" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.2012, -11.2183, -11.262, -10.2979, -11.7932, -13.2176, -18.5217", \ + "-12.4328, -12.4499, -12.4936, -12.6196, -13.0248, -14.4492, -19.7533", \ + "-14.8361, -14.8532, -14.897, -15.0229, -15.4281, -16.8525, -22.1567", \ + "-18.3325, -15.4227, -15.4664, -18.4375, -15.9976, -21.4195, -25.5957", \ + "-23.5809, -23.598, -23.6418, -23.7677, -24.1729, -25.5973, -30.9014", \ + "-32.0995, -32.1166, -32.1604, -32.2863, -32.6916, -34.116, -39.4201", \ + "-49.7888, -49.8059, -49.8497, -48.8477, -50.3809, -51.8052, -57.1094" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8145, 24.7015, 26.4487, 27.0186, 36.1809, 47.1536, 62.2301", \ + "24.5099, 25.3969, 27.1442, 30.5313, 36.8763, 47.849, 62.9255", \ + "25.8343, 26.7214, 28.4686, 31.8558, 38.2007, 49.1735, 64.25", \ + "25.2515, 25.107, 26.8542, 32.0453, 40.5839, 47.5591, 63.9431", \ + "27.6689, 28.5559, 30.3032, 33.6903, 40.0353, 51.008, 66.0845", \ + "26.5718, 27.4588, 29.206, 32.5932, 38.9381, 49.9109, 64.9874", \ + "24.3775, 25.2645, 23.0142, 27.5195, 36.7439, 47.7166, 62.7931" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.2485, 21.3311, 21.5105, 19.0186, 22.9837, 26.0065, 35.6808", \ + "22.5349, 22.6176, 22.797, 23.2124, 24.2702, 27.293, 36.9673", \ + "25.0465, 25.1291, 25.3085, 25.724, 26.7817, 29.8045, 39.4788", \ + "26.897, 25.909, 26.0884, 27.6562, 27.5617, 30.5844, 41.3867", \ + "34.3987, 34.4814, 34.6607, 35.0762, 36.134, 39.1568, 44.8336", \ + "43.6157, 43.6983, 43.8777, 44.2932, 45.351, 48.3738, 54.0506", \ + "62.319, 62.4016, 62.581, 60.127, 60.0568, 63.0796, 72.7539" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8145, 24.7015, 26.4487, 27.0186, 36.1809, 47.1536, 62.2301", \ + "24.5099, 25.3969, 27.1442, 30.5313, 36.8763, 47.849, 62.9255", \ + "25.8343, 26.7214, 28.4686, 31.8558, 38.2007, 49.1735, 64.25", \ + "25.2515, 25.107, 26.8542, 32.0453, 40.5839, 47.5591, 63.9431", \ + "27.6689, 28.5559, 30.3032, 33.6903, 40.0353, 51.008, 66.0845", \ + "26.5718, 27.4588, 29.206, 32.5932, 38.9381, 49.9109, 64.9874", \ + "24.3775, 25.2645, 23.0142, 27.5195, 36.7439, 47.7166, 62.7931" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.2485, 21.3311, 21.5105, 19.0186, 22.9837, 26.0065, 35.6808", \ + "22.5349, 22.6176, 22.797, 23.2124, 24.2702, 27.293, 36.9673", \ + "25.0465, 25.1291, 25.3085, 25.724, 26.7817, 29.8045, 39.4788", \ + "26.897, 25.909, 26.0884, 27.6562, 27.5617, 30.5844, 41.3867", \ + "34.3987, 34.4814, 34.6607, 35.0762, 36.134, 39.1568, 44.8336", \ + "43.6157, 43.6983, 43.8777, 44.2932, 45.351, 48.3738, 54.0506", \ + "62.319, 62.4016, 62.581, 60.127, 60.0568, 63.0796, 72.7539" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000448773, 0.000458903, 0.000510518, 0.000511334, 0.000563998, 0.000586603, 0.000600479" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.000838153, 0.000806839, 0.000698295, 0.000691506, 0.000664788, 0.00068758, 0.000665288" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0777244, 0.0781537, 0.0779145, 0.0783695, 0.0783965, 0.0780055, 0.0777106" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0768242, -0.0762448, -0.0765986, -0.0768878, -0.0770206, -0.0765209, -0.0762905" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0387869, 0.0393051, 0.0434409, 0.0612636, 0.109414, 0.22173, 0.460633" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240028, 0.238976, 0.246682, 0.271061, 0.326786, 0.44858, 0.703332" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.200927, 0.200989, 0.204772, 0.222402, 0.270932, 0.383304, 0.621936" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0778344, 0.0773787, 0.0846775, 0.108745, 0.164301, 0.286388, 0.541078" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.52594, 0.523696, 0.526616, 0.544524, 0.594613, 0.710798, 0.967408" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618486, 0.617574, 0.623741, 0.649316, 0.708074, 0.837774, 1.11173" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573129, 0.570841, 0.573063, 0.59134, 0.640725, 0.757785, 1.01432" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573551, 0.573069, 0.579231, 0.604975, 0.66379, 0.792921, 1.06625" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.490973; + rise_capacitance : 0.485763; + rise_capacitance_range (0.422249, 0.485763); + fall_capacitance : 0.490973; + fall_capacitance_range (0.416607, 0.490973); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -25.0861, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.3647, -11.3681, -11.3747, -10.2979, -11.6524, -12.943, -18.5706", \ + "-12.251, -12.2543, -12.261, -12.2742, -12.5387, -13.8293, -19.4568", \ + "-13.9798, -13.9831, -13.9897, -14.003, -14.2675, -15.558, -21.1856", \ + "-16.1914, -13.2678, -13.2744, -16.1328, -13.5522, -18.8402, -23.3398", \ + "-19.1277, -19.131, -19.1377, -19.1509, -19.4154, -20.706, -26.3335", \ + "-28.0497, -28.053, -24.0622, -24.0754, -28.3374, -29.628, -35.2555", \ + "-38.6731, -38.6764, -38.683, -37.5684, -38.9608, -40.2514, -45.8789" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56402, -7.58153, -9.52275, -15.9375, -16.54, -19.5623, -13.6145", \ + "-7.08831, -8.10581, -10.047, -13.5544, -17.0643, -20.0866, -14.1388", \ + "-8.09024, -13.1052, -15.0465, -14.5563, -22.0637, -25.0861, -15.1407", \ + "-12.8735, -10.925, -12.8663, -19.2188, -19.8835, -22.9058, -15.8203", \ + "-13.8081, -14.8256, -16.7668, -20.2741, -23.7841, -26.8064, -16.861", \ + "-13.6142, -14.6317, -16.5729, -20.0802, -23.5901, -26.6125, -16.6671", \ + "-9.22882, -14.2438, -16.1851, -18.5742, -23.2023, -26.2246, -16.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.3647, -11.3681, -11.3747, -10.2979, -11.6524, -12.943, -18.5706", \ + "-12.251, -12.2543, -12.261, -12.2742, -12.5387, -13.8293, -19.4568", \ + "-13.9798, -13.9831, -13.9897, -14.003, -14.2675, -15.558, -21.1856", \ + "-16.1914, -13.2678, -13.2744, -16.1328, -13.5522, -18.8402, -23.3398", \ + "-19.1277, -19.131, -19.1377, -19.1509, -19.4154, -20.706, -26.3335", \ + "-28.0497, -28.053, -24.0622, -24.0754, -28.3374, -29.628, -35.2555", \ + "-38.6731, -38.6764, -38.683, -37.5684, -38.9608, -40.2514, -45.8789" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.0922, 22.6221, 23.6872, 27.0186, 34.2223, 47.3289, 62.8947", \ + "22.7412, 23.2711, 24.3362, 30.4848, 38.8688, 47.9779, 63.5437", \ + "23.9888, 24.5187, 25.5838, 31.7325, 40.1164, 49.2255, 64.7913", \ + "27.3145, 26.8129, 27.878, 31.6208, 38.4131, 51.5197, 64.8479", \ + "30.0669, 30.5968, 31.6619, 33.813, 42.197, 51.3061, 66.8719", \ + "31.9789, 32.5088, 33.5739, 35.7251, 44.109, 53.2181, 68.7839", \ + "30.246, 30.7759, 31.841, 35.9922, 42.3761, 51.4852, 67.051" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.4121, 21.469, 21.5993, 19.0186, 22.843, 25.7319, 35.7297", \ + "22.3532, 22.4101, 22.5405, 22.8671, 23.7841, 26.6731, 36.6708", \ + "24.1901, 24.2471, 24.3774, 20.7066, 25.6211, 28.51, 38.5078", \ + "24.7559, 23.7422, 23.8726, 25.3516, 25.1162, 28.0052, 39.1309", \ + "29.9456, 30.0025, 30.1329, 30.4595, 31.3765, 34.2654, 44.2632", \ + "39.5659, 39.6228, 35.7557, 36.0823, 36.9993, 39.8883, 45.8885", \ + "51.2033, 51.2603, 51.3906, 48.8477, 48.6367, 51.5257, 57.5259" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "22.0922, 22.6221, 23.6872, 27.0186, 34.2223, 47.3289, 62.8947", \ + "22.7412, 23.2711, 24.3362, 30.4848, 38.8688, 47.9779, 63.5437", \ + "23.9888, 24.5187, 25.5838, 31.7325, 40.1164, 49.2255, 64.7913", \ + "27.3145, 26.8129, 27.878, 31.6208, 38.4131, 51.5197, 64.8479", \ + "30.0669, 30.5968, 31.6619, 33.813, 42.197, 51.3061, 66.8719", \ + "31.9789, 32.5088, 33.5739, 35.7251, 44.109, 53.2181, 68.7839", \ + "30.246, 30.7759, 31.841, 35.9922, 42.3761, 51.4852, 67.051" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.4121, 21.469, 21.5993, 19.0186, 22.843, 25.7319, 35.7297", \ + "22.3532, 22.4101, 22.5405, 22.8671, 23.7841, 26.6731, 36.6708", \ + "24.1901, 24.2471, 24.3774, 20.7066, 25.6211, 28.51, 38.5078", \ + "24.7559, 23.7422, 23.8726, 25.3516, 25.1162, 28.0052, 39.1309", \ + "29.9456, 30.0025, 30.1329, 30.4595, 31.3765, 34.2654, 44.2632", \ + "39.5659, 39.6228, 35.7557, 36.0823, 36.9993, 39.8883, 45.8885", \ + "51.2033, 51.2603, 51.3906, 48.8477, 48.6367, 51.5257, 57.5259" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0320812, -0.0332668, -0.0337938, -0.03413, -0.0343709, -0.0340393, -0.034125" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0374994, 0.0376947, 0.0379118, 0.0379773, 0.0372357, 0.037657, 0.0374679" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795602, 0.0800922, 0.0798828, 0.0792365, 0.0794933, 0.078334, 0.077921" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0760614, -0.076083, -0.076511, -0.0765426, -0.0757084, -0.0762775, -0.0759495" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.036379, 0.0371553, 0.0432798, 0.0635282, 0.117331, 0.242481, 0.508597" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.275475, 0.273312, 0.279327, 0.301818, 0.361887, 0.498491, 0.781806" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240935, 0.241742, 0.248114, 0.268179, 0.321722, 0.447106, 0.712238" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0692546, 0.0664536, 0.072526, 0.0950499, 0.154768, 0.291214, 0.574948" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521711, 0.521309, 0.526561, 0.546473, 0.601794, 0.732423, 1.0176" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.654131, 0.65139, 0.657113, 0.680165, 0.743292, 0.886052, 1.18665" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611859, 0.611059, 0.616123, 0.636842, 0.691789, 0.822616, 1.10753" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564853, 0.561814, 0.567063, 0.590715, 0.653981, 0.796363, 1.09714" \ + ); + } + } + } + } + cell (ICGx5p33DC_ASAP7_75t_L) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 4600.26; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4906.48; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4711.44; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5017.66; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4702.65; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5008.87; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4834.82; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5519.62; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4958.12; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5069.3; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5060.51; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3573.03; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4830.23; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.54339, 8.30687, 9.63751, 12.012, 16.5065, 25.3527, 42.9869", \ + "8.69234, 9.47199, 10.8142, 13.2074, 17.7084, 26.5531, 44.1889", \ + "10.326, 11.1344, 12.5346, 14.975, 19.5438, 28.3986, 46.0342", \ + "12.9305, 13.7884, 15.2216, 17.7343, 22.3191, 31.2365, 48.919", \ + "17.0432, 17.9612, 19.5208, 22.1586, 26.8345, 35.7654, 53.4576", \ + "23.4742, 24.4937, 26.1887, 29.0639, 33.9918, 43.0146, 60.8431", \ + "33.7356, 34.8737, 36.8346, 40.1013, 45.5577, 55.1853, 73.6195" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.33607, 4.4638, 6.72361, 11.3298, 20.7135, 39.7083, 77.9528", \ + "3.60269, 4.70614, 6.91283, 11.4478, 20.7621, 39.7304, 77.9545", \ + "3.95701, 5.07725, 7.26916, 11.7543, 20.9888, 39.865, 77.9979", \ + "4.57061, 5.66878, 7.80476, 12.179, 21.3727, 40.0615, 78.1423", \ + "5.61062, 6.71465, 8.8458, 13.0991, 21.9826, 40.498, 78.3475", \ + "7.32429, 8.40041, 10.5516, 14.7632, 23.4714, 41.6671, 79.4524", \ + "10.0183, 11.1164, 13.2906, 17.5502, 26.2654, 44.1875, 81.839" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.56266, 8.3297, 9.66702, 12.0427, 16.5529, 25.428, 43.0797", \ + "8.70914, 9.49118, 10.8461, 13.2436, 17.7608, 26.6251, 44.2778", \ + "10.369, 11.1692, 12.5679, 15.0278, 19.6098, 28.4788, 46.1307", \ + "13.0034, 13.8501, 15.3075, 17.8363, 22.4389, 31.3744, 49.0232", \ + "17.152, 18.0774, 19.6328, 22.3182, 27.0038, 35.9381, 53.6223", \ + "23.6952, 24.7296, 26.4591, 29.3746, 34.3418, 43.3865, 61.0672", \ + "34.2252, 35.4007, 37.3407, 40.6552, 46.0499, 55.1994, 72.7167" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.34342, 4.47385, 6.7395, 11.3553, 20.7429, 39.743, 77.9584", \ + "3.61407, 4.71756, 6.93091, 11.476, 20.7958, 39.7573, 77.9618", \ + "3.98629, 5.09406, 7.29335, 11.8019, 21.0251, 39.8935, 78.0058", \ + "4.61532, 5.71007, 7.85265, 12.2339, 21.3613, 40.0679, 78.1035", \ + "5.71713, 6.81881, 8.97762, 13.2015, 22.042, 40.4689, 78.253", \ + "7.53273, 8.62391, 10.7976, 14.9855, 23.4962, 41.4453, 79.5144", \ + "10.4439, 11.5466, 13.6711, 17.7685, 25.8252, 43.0148, 79.9102" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.60049, 8.4445, 9.93682, 12.6259, 17.6992, 27.6524, 47.4716", \ + "8.40691, 9.27833, 10.7999, 13.5047, 18.5875, 28.5478, 48.3726", \ + "9.30368, 10.1568, 11.6651, 14.423, 19.6124, 29.6061, 49.4386", \ + "10.4837, 11.3254, 12.8377, 15.5724, 20.7255, 30.8307, 50.74", \ + "11.7328, 12.6369, 14.1769, 16.976, 22.1423, 32.2435, 52.2354", \ + "12.5214, 13.4868, 15.2069, 18.1244, 23.3821, 33.6342, 53.7277", \ + "11.597, 12.698, 14.5836, 17.7373, 23.282, 33.8125, 54.4793" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.9935, 5.3581, 8.07233, 13.5799, 24.7925, 47.4725, 93.0859", \ + "4.27227, 5.61858, 8.29194, 13.7466, 24.8739, 47.4981, 93.0906", \ + "4.42852, 5.81002, 8.50346, 14.0209, 25.1287, 47.6894, 93.1504", \ + "4.90725, 6.21453, 8.82983, 14.2061, 25.4716, 47.8929, 93.3774", \ + "5.80301, 7.0741, 9.63723, 14.8588, 25.902, 48.4574, 93.6601", \ + "7.26048, 8.57432, 11.1012, 16.2817, 26.9483, 49.098, 94.5538", \ + "9.6992, 10.9876, 13.5647, 18.5648, 29.1713, 51.3323, 96.7557" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.54339, 8.30687, 9.63751, 12.012, 16.5065, 25.3527, 42.9869", \ + "8.69234, 9.47199, 10.8142, 13.2074, 17.7084, 26.5531, 44.1889", \ + "10.326, 11.1344, 12.5346, 14.975, 19.5438, 28.3986, 46.0342", \ + "12.9305, 13.7884, 15.2216, 17.7343, 22.3191, 31.2365, 48.919", \ + "17.0432, 17.9612, 19.5208, 22.1586, 26.8345, 35.7654, 53.4576", \ + "23.4742, 24.4937, 26.1887, 29.0639, 33.9918, 43.0146, 60.8431", \ + "33.7356, 34.8737, 36.8346, 40.1013, 45.5577, 55.1853, 73.6195" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.33607, 4.4638, 6.72361, 11.3298, 20.7135, 39.7083, 77.9528", \ + "3.60269, 4.70614, 6.91283, 11.4478, 20.7621, 39.7304, 77.9545", \ + "3.95701, 5.07725, 7.26916, 11.7543, 20.9888, 39.865, 77.9979", \ + "4.57061, 5.66878, 7.80476, 12.179, 21.3727, 40.0615, 78.1423", \ + "5.61062, 6.71465, 8.8458, 13.0991, 21.9826, 40.498, 78.3475", \ + "7.32429, 8.40041, 10.5516, 14.7632, 23.4714, 41.6671, 79.4524", \ + "10.0183, 11.1164, 13.2906, 17.5502, 26.2654, 44.1875, 81.839" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.44544, 2.46274, 2.48717, 2.51016, 2.52489, 2.53185, 2.53577", \ + "2.4626, 2.47457, 2.49468, 2.51759, 2.53462, 2.54836, 2.5562", \ + "2.6073, 2.6091, 2.62299, 2.63901, 2.66067, 2.67998, 2.68517", \ + "3.01286, 3.00701, 3.004, 3.01445, 3.02937, 3.04587, 3.05971", \ + "3.92831, 3.90086, 3.88699, 3.87764, 3.87909, 3.89209, 3.90774", \ + "5.83918, 5.78664, 5.73873, 5.70296, 5.68301, 5.68182, 5.68919", \ + "9.7022, 9.6222, 9.52526, 9.439, 9.37848, 9.34382, 9.33304" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.443306, 0.461566, 0.486796, 0.510581, 0.525775, 0.532901, 0.537143", \ + "0.465072, 0.474176, 0.493031, 0.516464, 0.535138, 0.542175, 0.542545", \ + "0.606273, 0.610153, 0.624545, 0.641077, 0.659312, 0.666288, 0.673129", \ + "1.0036, 0.994349, 0.995312, 1.01795, 1.04034, 1.04656, 1.05491", \ + "1.91568, 1.89064, 1.87005, 1.8717, 1.88093, 1.90098, 1.9065", \ + "3.82782, 3.77384, 3.71935, 3.68276, 3.66398, 3.66115, 3.71819", \ + "7.6914, 7.60846, 7.50978, 7.42842, 7.3844, 7.33484, 7.46767" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.05365, 3.07109, 3.09579, 3.11868, 3.13217, 3.14044, 3.14309", \ + "3.07134, 3.08141, 3.10245, 3.12619, 3.13999, 3.1533, 3.16207", \ + "3.2186, 3.22134, 3.23, 3.25088, 3.26993, 3.29029, 3.29618", \ + "3.62992, 3.61904, 3.61854, 3.62657, 3.64284, 3.65938, 3.67374", \ + "4.57794, 4.54975, 4.528, 4.51938, 4.52774, 4.53378, 4.54293", \ + "6.46572, 6.41037, 6.3533, 6.31934, 6.29855, 6.29759, 6.30322", \ + "9.66768, 9.5856, 9.48238, 9.39703, 9.33285, 9.30199, 9.28607" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.24758, 1.26572, 1.29083, 1.31356, 1.32768, 1.33635, 1.33904", \ + "1.26765, 1.2767, 1.29631, 1.31735, 1.33612, 1.34234, 1.3434", \ + "1.4131, 1.41394, 1.42738, 1.44542, 1.463, 1.46499, 1.47203", \ + "1.82443, 1.81323, 1.81321, 1.82762, 1.84673, 1.8612, 1.8591", \ + "2.76867, 2.74093, 2.71277, 2.71297, 2.71852, 2.72544, 2.73526", \ + "4.65238, 4.59702, 4.5369, 4.49776, 4.47608, 4.53578, 4.64374", \ + "7.84864, 7.76709, 7.65705, 7.57247, 7.51498, 7.49572, 7.5222" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 6.80098; + rise_capacitance : 6.73354; + rise_capacitance_range (4.29678, 6.73354); + fall_capacitance : 6.80098; + fall_capacitance_range (4.28013, 6.80098); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0178, 35.7199, 39.2532, 50.354, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.26623, 2.27925, 2.32302, 2.42904, 2.67991, 3.31888, 5.18988" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.780094, 0.791782, 0.829614, 0.939625, 1.1915, 1.82334, 3.69551" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.638765, -0.632049, -0.59804, -0.508197, -0.294444, 0.151435, 1.06504" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00268, 1.01769, 1.06769, 1.17821, 1.40872, 1.88511, 2.84121" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720427, 0.725264, 0.761137, 0.859282, 1.06602, 1.51525, 2.43071" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.357273, -0.341449, -0.298329, -0.190012, 0.0410179, 0.516269, 1.47297" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.550831; + rise_capacitance : 0.550831; + rise_capacitance_range (0.468184, 0.550831); + fall_capacitance : 0.550534; + fall_capacitance_range (0.406312, 0.550534); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.1378, -16.0604, -15.9313, -14.5483, -13.0576, -17.0128, -24.8523", \ + "-17.3321, -17.2573, -17.1282, -16.9525, -18.252, -18.2097, -26.0492", \ + "-19.6711, -19.5962, -19.4671, -19.2914, -20.5909, -20.5486, -28.3881", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.1723, -28.0974, -27.9683, -27.7926, -29.0922, -29.0498, -36.8893", \ + "-36.7469, -36.672, -36.5429, -36.3672, -37.6667, -41.6219, -45.4639", \ + "-55.8533, -55.7784, -55.6493, -54.3555, -56.7732, -56.7308, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.1378, -16.0604, -15.9313, -14.5483, -13.0576, -17.0128, -24.8523", \ + "-17.3321, -17.2573, -17.1282, -16.9525, -18.252, -18.2097, -26.0492", \ + "-19.6711, -19.5962, -19.4671, -19.2914, -20.5909, -20.5486, -28.3881", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.1723, -28.0974, -27.9683, -27.7926, -29.0922, -29.0498, -36.8893", \ + "-36.7469, -36.672, -36.5429, -36.3672, -37.6667, -41.6219, -45.4639", \ + "-55.8533, -55.7784, -55.6493, -54.3555, -56.7732, -56.7308, -60.5728" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3638, 38.0784, 45.3711, 53.4108, 71.3077, 98.3711", \ + "34.4653, 35.8397, 38.5542, 43.8469, 53.8867, 71.7836, 98.8469", \ + "35.3703, 36.7447, 39.4592, 44.7519, 54.7917, 72.6886, 99.7519", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3638, 38.0784, 45.3711, 53.4108, 71.3077, 98.3711", \ + "34.4653, 35.8397, 38.5542, 43.8469, 53.8867, 71.7836, 98.8469", \ + "35.3703, 36.7447, 39.4592, 44.7519, 54.7917, 72.6886, 99.7519", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.4998, 41.8742, 44.5887, 49.8814, 55.9237, 73.8206, 100.884", \ + "39.5177, 40.892, 43.6066, 48.8993, 58.939, 72.8384, 103.899", \ + "33.5559, 34.9302, 37.6448, 44.9375, 52.9773, 70.8742, 97.9375" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00103783, 0.00100926, 0.00104914, 0.00106711, 0.0011412, 0.0011547, 0.00117292" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00141151, 0.00142712, 0.00132075, 0.00134304, 0.0012613, 0.00126786, 0.00125209" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0931035, 0.0940153, 0.0937279, 0.0940328, 0.0937867, 0.0934822, 0.0932674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0910142, -0.0906124, -0.0911985, -0.0909215, -0.0916575, -0.0908144, -0.0906918" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0398317, 0.0393759, 0.0435377, 0.0612714, 0.109637, 0.222139, 0.461356" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237671, 0.237111, 0.244141, 0.268201, 0.325117, 0.446452, 0.701536" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218923, 0.218427, 0.221809, 0.240689, 0.288334, 0.401116, 0.640184" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0582166, 0.0579642, 0.0646994, 0.0887195, 0.144765, 0.266855, 0.52182" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16892, 1.16768, 1.17022, 1.18812, 1.24058, 1.35519, 1.59909" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.922285, 0.921435, 0.927294, 0.953061, 1.01102, 1.13588, 1.39936" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16163, 1.15954, 1.16246, 1.18032, 1.23185, 1.34767, 1.59161" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.93954, 0.938975, 0.945228, 0.970311, 1.02865, 1.15404, 1.41777" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.527132; + rise_capacitance : 0.52165; + rise_capacitance_range (0.456766, 0.52165); + fall_capacitance : 0.527132; + fall_capacitance_range (0.450161, 0.527132); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.3404, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-13.1609, -17.0516, -16.8616, -16.5761, -13.8963, -17.5072, -21.8022", \ + "-14.7663, -14.6595, -18.467, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.3404, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-13.1609, -17.0516, -16.8616, -16.5761, -13.8963, -17.5072, -21.8022", \ + "-14.7663, -14.6595, -18.467, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.1122, 38.3313, 40.7504, 42.8003, 54.731, 71.9469, 97.4963", \ + "37.7133, 38.9324, 41.3516, 46.1135, 55.3321, 72.5481, 98.0974", \ + "38.8686, 40.0877, 42.5068, 47.2688, 56.4874, 73.7033, 103.25", \ + "38.1812, 42.21, 44.6292, 46.4122, 58.6097, 75.8257, 103.375", \ + "41.4725, 42.6916, 45.1107, 49.8727, 59.0913, 76.3072, 105.854", \ + "42.4356, 43.6548, 46.0739, 50.8358, 60.0545, 77.2704, 106.817", \ + "40.3644, 41.5836, 44.0027, 49.8828, 61.9808, 79.1967, 104.746" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.1122, 38.3313, 40.7504, 42.8003, 54.731, 71.9469, 97.4963", \ + "37.7133, 38.9324, 41.3516, 46.1135, 55.3321, 72.5481, 98.0974", \ + "38.8686, 40.0877, 42.5068, 47.2688, 56.4874, 73.7033, 103.25", \ + "38.1812, 42.21, 44.6292, 46.4122, 58.6097, 75.8257, 103.375", \ + "41.4725, 42.6916, 45.1107, 49.8727, 59.0913, 76.3072, 105.854", \ + "42.4356, 43.6548, 46.0739, 50.8358, 60.0545, 77.2704, 106.817", \ + "40.3644, 41.5836, 44.0027, 49.8828, 61.9808, 79.1967, 104.746" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0315886, -0.0322263, -0.033303, -0.0336782, -0.0338645, -0.0337446, -0.0335906" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0378858, 0.0382222, 0.0379926, 0.0384883, 0.0381808, 0.0382706, 0.0380813" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.089452, 0.0892438, 0.0896723, 0.0895589, 0.0893368, 0.0881664, 0.0876734" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0843318, -0.0847496, -0.0845244, -0.0854317, -0.084654, -0.0848953, -0.0845338" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0367802, 0.0376693, 0.0436326, 0.0639778, 0.118091, 0.243485, 0.509848" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.272153, 0.269567, 0.276187, 0.298645, 0.36042, 0.49622, 0.779261" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.252937, 0.254147, 0.260032, 0.2794, 0.334131, 0.459668, 0.725159" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.053784, 0.0515584, 0.0574476, 0.0802489, 0.141099, 0.277041, 0.560824" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16856, 1.16895, 1.17452, 1.19485, 1.25182, 1.3811, 1.65274" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.951407, 0.948554, 0.954142, 0.97661, 1.04063, 1.18205, 1.47149" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19872, 1.19883, 1.20427, 1.22427, 1.28083, 1.41005, 1.68236" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.929642, 0.926899, 0.93265, 0.955382, 1.01982, 1.16146, 1.45166" \ + ); + } + } + } + } + cell (ICGx6p67DC_ASAP7_75t_L) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 4894.49; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5344.17; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5005.66; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5455.34; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4996.87; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5446.55; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5129.05; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5957.3; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5252.35; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5363.52; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5354.73; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3867.25; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5172.27; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.6489, 8.30925, 9.45789, 11.4584, 15.1308, 22.2467, 36.3892", \ + "8.86319, 9.53004, 10.6776, 12.6876, 16.3623, 23.4836, 37.6274", \ + "10.5956, 11.2838, 12.4756, 14.5388, 18.2896, 25.4218, 39.5731", \ + "13.3116, 14.0365, 15.2686, 17.41, 21.1809, 28.3742, 42.5535", \ + "17.5778, 18.3618, 19.707, 21.9629, 25.8542, 33.0835, 47.2907", \ + "24.2247, 25.0968, 26.5532, 29.0155, 33.212, 40.6343, 54.941", \ + "34.8603, 35.8288, 37.5251, 40.2954, 44.9692, 52.9257, 67.6683" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.20586, 4.12125, 5.93895, 9.60699, 17.0859, 32.2924, 62.9901", \ + "3.47239, 4.36325, 6.12895, 9.73438, 17.1524, 32.3196, 62.9972", \ + "3.88572, 4.78885, 6.57423, 10.1207, 17.4276, 32.4844, 63.0636", \ + "4.56139, 5.43579, 7.17729, 10.6125, 18.0249, 32.757, 63.2213", \ + "5.70756, 6.57151, 8.28061, 11.6557, 18.6294, 33.5164, 63.6028", \ + "7.56707, 8.4173, 10.1346, 13.4752, 20.3131, 34.6202, 64.4141", \ + "10.508, 11.3499, 13.0697, 16.4151, 23.2331, 37.3467, 66.9413" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.67085, 8.33147, 9.48737, 11.4968, 15.1844, 22.3229, 36.4822", \ + "8.88821, 9.55396, 10.7082, 12.7247, 16.4113, 23.5538, 37.7141", \ + "10.6333, 11.3282, 12.5172, 14.5964, 18.3618, 25.5052, 39.661", \ + "13.3855, 14.1222, 15.3653, 17.503, 21.3076, 28.5103, 42.6752", \ + "17.7099, 18.496, 19.8601, 22.1275, 26.042, 33.2689, 47.4246", \ + "24.532, 25.3985, 26.8822, 29.3952, 33.5747, 40.9454, 55.1215", \ + "35.4188, 36.417, 38.1219, 40.9107, 45.4806, 52.9574, 67.0592" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.21704, 4.13578, 5.95813, 9.6346, 17.1146, 32.3168, 62.9881", \ + "3.4861, 4.37951, 6.14783, 9.76379, 17.1803, 32.3426, 62.9876", \ + "3.90901, 4.81192, 6.58826, 10.1663, 17.4616, 32.5039, 63.0624", \ + "4.60995, 5.48707, 7.23486, 10.6927, 17.8593, 32.7528, 63.1798", \ + "5.83081, 6.69759, 8.40401, 11.8047, 18.7326, 33.3316, 63.4314", \ + "7.81292, 8.6527, 10.3659, 13.7086, 20.3425, 34.4406, 64.4139", \ + "10.922, 11.7526, 13.4119, 16.6012, 22.8121, 36.1585, 65.2784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.71766, 8.43578, 9.70864, 11.9597, 16.103, 24.1152, 40.0141", \ + "8.6271, 9.35436, 10.6367, 12.8978, 17.0638, 25.0693, 40.9673", \ + "9.62312, 10.3384, 11.6166, 13.9109, 18.1683, 26.2326, 42.1402", \ + "10.9308, 11.6458, 12.9221, 15.2011, 19.4074, 27.5308, 43.5667", \ + "12.3644, 13.1223, 14.4458, 16.774, 21.0048, 29.114, 45.1344", \ + "13.3937, 14.24, 15.6885, 18.1199, 22.4829, 30.7164, 46.8601", \ + "12.8227, 13.7627, 15.3735, 18.0602, 22.6896, 31.2046, 47.7901" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.79373, 4.90243, 7.08713, 11.4711, 20.3974, 38.5089, 75.0436", \ + "4.07609, 5.16238, 7.31413, 11.6408, 20.4824, 38.5489, 75.0528", \ + "4.29528, 5.41236, 7.59307, 11.9507, 20.7637, 38.7677, 75.1786", \ + "4.85147, 5.8933, 7.97052, 12.2164, 21.2102, 39.0137, 75.3807", \ + "5.83245, 6.83851, 8.88162, 12.972, 21.6134, 39.6667, 75.7639", \ + "7.55277, 8.48983, 10.4772, 14.4934, 22.8727, 40.4713, 76.4285", \ + "10.1886, 11.1383, 13.1005, 17.0554, 25.3171, 43.1172, 78.4705" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.6489, 8.30925, 9.45789, 11.4584, 15.1308, 22.2467, 36.3892", \ + "8.86319, 9.53004, 10.6776, 12.6876, 16.3623, 23.4836, 37.6274", \ + "10.5956, 11.2838, 12.4756, 14.5388, 18.2896, 25.4218, 39.5731", \ + "13.3116, 14.0365, 15.2686, 17.41, 21.1809, 28.3742, 42.5535", \ + "17.5778, 18.3618, 19.707, 21.9629, 25.8542, 33.0835, 47.2907", \ + "24.2247, 25.0968, 26.5532, 29.0155, 33.212, 40.6343, 54.941", \ + "34.8603, 35.8288, 37.5251, 40.2954, 44.9692, 52.9257, 67.6683" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.20586, 4.12125, 5.93895, 9.60699, 17.0859, 32.2924, 62.9901", \ + "3.47239, 4.36325, 6.12895, 9.73438, 17.1524, 32.3196, 62.9972", \ + "3.88572, 4.78885, 6.57423, 10.1207, 17.4276, 32.4844, 63.0636", \ + "4.56139, 5.43579, 7.17729, 10.6125, 18.0249, 32.757, 63.2213", \ + "5.70756, 6.57151, 8.28061, 11.6557, 18.6294, 33.5164, 63.6028", \ + "7.56707, 8.4173, 10.1346, 13.4752, 20.3131, 34.6202, 64.4141", \ + "10.508, 11.3499, 13.0697, 16.4151, 23.2331, 37.3467, 66.9413" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.60534, 2.61698, 2.64168, 2.67048, 2.69227, 2.70338, 2.70953", \ + "2.6262, 2.63181, 2.649, 2.67432, 2.7, 2.71494, 2.7258", \ + "2.78157, 2.77229, 2.775, 2.79142, 2.81659, 2.83392, 2.84782", \ + "3.20167, 3.17762, 3.16467, 3.16539, 3.17916, 3.19594, 3.21193", \ + "4.15238, 4.10473, 4.06603, 4.03794, 4.0293, 4.03961, 4.05311", \ + "6.12256, 6.04772, 5.9612, 5.89217, 5.84883, 5.83277, 5.83214", \ + "10.0759, 9.97655, 9.8377, 9.69367, 9.58491, 9.51697, 9.48001" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.602822, 0.615708, 0.641228, 0.671007, 0.693512, 0.704846, 0.711206", \ + "0.627315, 0.63015, 0.643683, 0.670368, 0.69126, 0.707872, 0.710413", \ + "0.778851, 0.773429, 0.779189, 0.78849, 0.814521, 0.826283, 0.833389", \ + "1.19635, 1.17267, 1.16095, 1.16431, 1.21102, 1.2022, 1.20053", \ + "2.14515, 2.09588, 2.05373, 2.03176, 2.02714, 2.08082, 2.07691", \ + "4.10882, 4.03436, 3.94362, 3.86972, 3.8249, 3.80543, 3.82252", \ + "8.06516, 7.9619, 7.8248, 7.67504, 7.57265, 7.51826, 7.44581" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.21052, 3.22225, 3.24702, 3.27622, 3.29769, 3.30921, 3.3149", \ + "3.23167, 3.23623, 3.25379, 3.2782, 3.30213, 3.31518, 3.32802", \ + "3.39137, 3.38181, 3.38363, 3.40026, 3.4254, 3.44434, 3.45544", \ + "3.81526, 3.7907, 3.77728, 3.7753, 3.78847, 3.80611, 3.82155", \ + "4.8018, 4.75234, 4.70836, 4.67974, 4.67555, 4.67984, 4.6901", \ + "6.75213, 6.67213, 6.58446, 6.51008, 6.45996, 6.44243, 6.44739", \ + "10.0384, 9.93367, 9.79194, 9.64668, 9.54271, 9.47314, 9.4411" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.4041, 1.41691, 1.44204, 1.47199, 1.49412, 1.50602, 1.51182", \ + "1.42938, 1.43002, 1.44386, 1.46986, 1.48966, 1.50513, 1.50874", \ + "1.58335, 1.57673, 1.57684, 1.59822, 1.61562, 1.61943, 1.6288", \ + "2.01114, 1.98761, 1.9762, 1.96806, 1.98757, 2.00878, 2.00936", \ + "2.9932, 2.94199, 2.90019, 2.86526, 2.85939, 2.87739, 2.87548", \ + "4.93987, 4.85868, 4.7666, 4.68945, 4.63788, 4.63152, 4.78894", \ + "8.21568, 8.11115, 7.96956, 7.82406, 7.71966, 7.6545, 7.6868" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 6.79971; + rise_capacitance : 6.7365; + rise_capacitance_range (4.29611, 6.7365); + fall_capacitance : 6.79971; + fall_capacitance_range (4.27658, 6.79971); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.7199, 35.7199, 39.2532, 50.354, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.26583, 2.27799, 2.32132, 2.42646, 2.67832, 3.31785, 5.18347" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.781089, 0.791075, 0.827948, 0.938635, 1.19003, 1.82231, 3.69168" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.638817, -0.631946, -0.599324, -0.507012, -0.295201, 0.150783, 1.0651" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00259, 1.01759, 1.06758, 1.1772, 1.40864, 1.88643, 2.84127" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720179, 0.725421, 0.764277, 0.855748, 1.06762, 1.51618, 2.43077" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.356024, -0.34263, -0.298461, -0.190039, 0.0410179, 0.515941, 1.47303" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.55083; + rise_capacitance : 0.55083; + rise_capacitance_range (0.468186, 0.55083); + fall_capacitance : 0.550534; + fall_capacitance_range (0.406312, 0.550534); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.1353, -16.0604, -15.9313, -14.5483, -17.0551, -17.0128, -24.8523", \ + "-17.3283, -17.2535, -17.1243, -16.9487, -18.2482, -18.2059, -26.0454", \ + "-19.6634, -19.5886, -19.4595, -19.2838, -20.5833, -20.541, -28.3805", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.2483, -28.1735, -28.0444, -27.8687, -29.1682, -29.1259, -36.9654", \ + "-37.2185, -37.1436, -37.0145, -36.8388, -38.1383, -42.0935, -45.9355", \ + "-54.0921, -54.0172, -53.8881, -56.5918, -55.012, -58.9672, -62.8091" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.1353, -16.0604, -15.9313, -14.5483, -17.0551, -17.0128, -24.8523", \ + "-17.3283, -17.2535, -17.1243, -16.9487, -18.2482, -18.2059, -26.0454", \ + "-19.6634, -19.5886, -19.4595, -19.2838, -20.5833, -20.541, -28.3805", \ + "-22.981, -20.0573, -19.9282, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.2483, -28.1735, -28.0444, -27.8687, -29.1682, -29.1259, -36.9654", \ + "-37.2185, -37.1436, -37.0145, -36.8388, -38.1383, -42.0935, -45.9355", \ + "-54.0921, -54.0172, -53.8881, -56.5918, -55.012, -58.9672, -62.8091" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3638, 38.0784, 45.3711, 53.4108, 71.3077, 98.3711", \ + "38.4645, 35.8413, 38.5558, 43.8485, 53.8883, 71.7852, 98.8485", \ + "39.371, 40.7454, 39.4624, 44.7551, 54.7949, 72.6918, 99.7551", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.3648, 41.7391, 44.4536, 49.7463, 55.7886, 73.6855, 100.749", \ + "39.1124, 40.4868, 43.2013, 48.494, 58.5338, 76.4307, 103.494", \ + "36.6078, 37.9821, 40.6967, 43.0463, 52.0317, 69.9286, 96.9919" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3638, 38.0784, 45.3711, 53.4108, 71.3077, 98.3711", \ + "38.4645, 35.8413, 38.5558, 43.8485, 53.8883, 71.7852, 98.8485", \ + "39.371, 40.7454, 39.4624, 44.7551, 54.7949, 72.6918, 99.7551", \ + "38.1812, 38.3677, 41.0823, 48.375, 56.4148, 74.3116, 103.375", \ + "40.3648, 41.7391, 44.4536, 49.7463, 55.7886, 73.6855, 100.749", \ + "39.1124, 40.4868, 43.2013, 48.494, 58.5338, 76.4307, 103.494", \ + "36.6078, 37.9821, 40.6967, 43.0463, 52.0317, 69.9286, 96.9919" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 36.5269, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00105175, 0.0010863, 0.0011261, 0.00114397, 0.00121812, 0.00123165, 0.00124983" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00148811, 0.00150374, 0.00139754, 0.00141306, 0.00133808, 0.00134462, 0.0013289" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0936821, 0.0940925, 0.0938049, 0.0941327, 0.0938637, 0.0935591, 0.0933443" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.090938, -0.090536, -0.0911217, -0.0908136, -0.0915808, -0.0907373, -0.090615" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.039909, 0.039453, 0.0436145, 0.0613481, 0.109713, 0.222216, 0.461432" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237748, 0.237188, 0.244218, 0.268278, 0.325194, 0.446529, 0.701613" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218999, 0.218504, 0.221886, 0.240766, 0.288411, 0.401193, 0.64026" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0582932, 0.0580409, 0.0647762, 0.0887961, 0.144842, 0.266932, 0.521897" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16738, 1.16611, 1.16864, 1.18653, 1.23901, 1.35373, 1.59711" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.920872, 0.919978, 0.925839, 0.951594, 1.00958, 1.13438, 1.39792" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16005, 1.15842, 1.16091, 1.17875, 1.23031, 1.34623, 1.58995" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.938093, 0.937491, 0.943746, 0.968815, 1.02718, 1.15251, 1.41631" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.527132; + rise_capacitance : 0.52165; + rise_capacitance_range (0.456766, 0.52165); + fall_capacitance : 0.527132; + fall_capacitance_range (0.450209, 0.527132); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.3379, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-13.1609, -17.0516, -16.8616, -16.5761, -17.8938, -17.5072, -25.7997", \ + "-14.7663, -18.657, -18.467, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.3379, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-13.1609, -17.0516, -16.8616, -16.5761, -17.8938, -17.5072, -25.7997", \ + "-14.7663, -18.657, -18.467, -18.1815, -15.5016, -19.1126, -23.4076", \ + "-20.6836, -17.728, -17.538, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4027, -23.296, -23.106, -22.8205, -24.1381, -27.7491, -32.0441", \ + "-32.2624, -32.1556, -31.9657, -31.6802, -32.9978, -32.6112, -40.9038", \ + "-44.8743, -44.7675, -44.5775, -43.1738, -41.6122, -45.2231, -49.5181" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0745, 38.2996, 40.7301, 42.8003, 54.7625, 72.0046, 97.4586", \ + "37.6816, 38.9067, 41.3372, 46.1195, 55.3696, 72.6117, 98.0657", \ + "38.8482, 40.0733, 42.5037, 47.2861, 56.5362, 73.7783, 99.2323", \ + "38.1812, 42.216, 44.6465, 46.4876, 58.6789, 75.921, 103.375", \ + "41.4671, 42.6922, 45.1227, 49.905, 59.1551, 76.3972, 105.849", \ + "42.4195, 43.6446, 46.075, 50.8574, 60.1075, 77.3496, 106.801", \ + "44.3243, 45.5493, 47.9798, 49.8828, 62.0123, 75.2569, 104.708" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0745, 38.2996, 40.7301, 42.8003, 54.7625, 72.0046, 97.4586", \ + "37.6816, 38.9067, 41.3372, 46.1195, 55.3696, 72.6117, 98.0657", \ + "38.8482, 40.0733, 42.5037, 47.2861, 56.5362, 73.7783, 99.2323", \ + "38.1812, 42.216, 44.6465, 46.4876, 58.6789, 75.921, 103.375", \ + "41.4671, 42.6922, 45.1227, 49.905, 59.1551, 76.3972, 105.849", \ + "42.4195, 43.6446, 46.075, 50.8574, 60.1075, 77.3496, 106.801", \ + "44.3243, 45.5493, 47.9798, 49.8828, 62.0123, 75.2569, 104.708" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0314369, -0.0320008, -0.0332245, -0.0336, -0.0337869, -0.0336674, -0.0335134" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0381215, 0.038621, 0.0380622, 0.038564, 0.0382443, 0.0383469, 0.0381578" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0891481, 0.0884906, 0.0897514, 0.0896372, 0.0894146, 0.0882439, 0.0877507" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0845264, -0.085007, -0.084442, -0.085356, -0.0845639, -0.0848191, -0.0844574" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0368586, 0.0377474, 0.043711, 0.0639825, 0.118169, 0.243562, 0.509926" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.272071, 0.269608, 0.276263, 0.299479, 0.360497, 0.496296, 0.779336" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.253016, 0.254226, 0.26011, 0.279782, 0.334208, 0.459745, 0.725236" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0540872, 0.0517279, 0.0575226, 0.0807465, 0.141175, 0.277118, 0.560899" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.16697, 1.16732, 1.17293, 1.19327, 1.25009, 1.37871, 1.6534" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.950018, 0.947207, 0.952694, 0.975392, 1.03906, 1.18059, 1.47138" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19717, 1.19728, 1.20272, 1.22272, 1.27916, 1.40781, 1.68295" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.928279, 0.925468, 0.931168, 0.953806, 1.01826, 1.15997, 1.45144" \ + ); + } + } + } + } + cell (ICGx8DC_ASAP7_75t_L) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 5188.71; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5781.85; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5299.89; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5893.03; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5291.1; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5884.24; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5423.27; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 6394.99; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5546.57; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5657.75; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5648.96; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4161.46; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 5514.32; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + state_function : "CLK & IQ"; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.79096, 8.36844, 9.3807, 11.1264, 14.2611, 20.2348, 32.0486", \ + "9.04413, 9.62818, 10.6404, 12.3927, 15.522, 21.4957, 33.3149", \ + "10.8463, 11.4569, 12.5059, 14.2994, 17.5149, 23.5179, 35.3388", \ + "13.6573, 14.2894, 15.3953, 17.2544, 20.4875, 26.5502, 38.4212", \ + "18.0616, 18.7487, 19.9315, 21.9187, 25.3006, 31.4028, 43.2608", \ + "24.951, 25.6749, 26.9677, 29.1544, 32.7805, 39.1026, 51.1233", \ + "35.8192, 36.6816, 38.1427, 40.6025, 44.6701, 51.5775, 64.0417" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.09029, 3.86908, 5.39413, 8.44388, 14.655, 27.3041, 52.9155", \ + "3.34096, 4.08724, 5.57382, 8.56857, 14.728, 27.3398, 52.9242", \ + "3.76914, 4.52215, 6.04803, 8.98611, 15.0384, 27.5326, 53.0129", \ + "4.44189, 5.18166, 6.66975, 9.53447, 15.5356, 27.8678, 53.2126", \ + "5.62275, 6.34302, 7.79286, 10.6185, 16.3685, 28.4342, 53.7129", \ + "7.5324, 8.2377, 9.649, 12.4911, 18.1345, 29.997, 54.6365", \ + "10.5804, 11.2812, 12.6862, 15.4977, 21.2536, 32.7849, 57.1796" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.81075, 8.39747, 9.41515, 11.1709, 14.3172, 20.3114, 32.1441", \ + "9.07216, 9.65978, 10.673, 12.4296, 15.5822, 21.5586, 33.3867", \ + "10.895, 11.503, 12.556, 14.3758, 17.5923, 23.5929, 35.4321", \ + "13.748, 14.3807, 15.4917, 17.3675, 20.6225, 26.6932, 38.5446", \ + "18.198, 18.9047, 20.0972, 22.0939, 25.485, 31.5934, 43.4234", \ + "25.2143, 25.9998, 27.2734, 29.5187, 33.1798, 39.4284, 51.2789", \ + "36.4339, 37.2891, 38.7555, 41.231, 45.1651, 51.6107, 63.3603" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.1037, 3.88398, 5.41311, 8.47351, 14.6891, 27.3365, 52.9081", \ + "3.3562, 4.10704, 5.59545, 8.59847, 14.7531, 27.3693, 52.9213", \ + "3.80676, 4.55199, 6.0604, 9.0343, 15.0661, 27.5608, 52.9982", \ + "4.49534, 5.23589, 6.72841, 9.59629, 15.5925, 27.8484, 53.1629", \ + "5.75223, 6.46706, 7.91243, 10.7667, 16.4419, 28.4352, 53.7445", \ + "7.7868, 8.4672, 9.8879, 12.7123, 18.1724, 29.7014, 54.2264", \ + "10.9459, 11.6094, 12.9428, 15.6254, 20.8057, 31.5356, 55.2111" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.84948, 8.47851, 9.59617, 11.5538, 15.0964, 21.8354, 35.1382", \ + "8.81925, 9.45315, 10.5688, 12.546, 16.075, 22.8281, 36.1309", \ + "9.90477, 10.5302, 11.6427, 13.6343, 17.2663, 24.0831, 37.3863", \ + "11.3041, 11.9352, 13.0561, 15.0252, 18.6081, 25.4464, 38.9053", \ + "12.8885, 13.5557, 14.718, 16.7421, 20.3491, 27.1638, 40.673", \ + "14.1411, 14.8676, 16.1303, 18.2382, 21.9799, 28.9062, 42.4622", \ + "13.833, 14.6428, 16.0309, 18.4211, 22.4323, 29.5634, 43.5322" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.61879, 4.56886, 6.41466, 10.0815, 17.5114, 32.6296, 63.1823", \ + "3.86875, 4.8063, 6.62925, 10.2386, 17.6037, 32.6672, 63.189", \ + "4.09383, 5.0389, 6.86792, 10.5766, 17.9023, 32.8996, 63.3454", \ + "4.63228, 5.53034, 7.29842, 10.8758, 18.1308, 33.1982, 63.5369", \ + "5.61395, 6.48297, 8.19769, 11.6398, 18.7156, 33.5207, 64.5286", \ + "7.29486, 8.12236, 9.83063, 13.1976, 20.1452, 34.765, 64.9724", \ + "10.0698, 10.8522, 12.4912, 15.8329, 22.596, 36.9892, 66.8071" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.79096, 8.36844, 9.3807, 11.1264, 14.2611, 20.2348, 32.0486", \ + "9.04413, 9.62818, 10.6404, 12.3927, 15.522, 21.4957, 33.3149", \ + "10.8463, 11.4569, 12.5059, 14.2994, 17.5149, 23.5179, 35.3388", \ + "13.6573, 14.2894, 15.3953, 17.2544, 20.4875, 26.5502, 38.4212", \ + "18.0616, 18.7487, 19.9315, 21.9187, 25.3006, 31.4028, 43.2608", \ + "24.951, 25.6749, 26.9677, 29.1544, 32.7805, 39.1026, 51.1233", \ + "35.8192, 36.6816, 38.1427, 40.6025, 44.6701, 51.5775, 64.0417" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.09029, 3.86908, 5.39413, 8.44388, 14.655, 27.3041, 52.9155", \ + "3.34096, 4.08724, 5.57382, 8.56857, 14.728, 27.3398, 52.9242", \ + "3.76914, 4.52215, 6.04803, 8.98611, 15.0384, 27.5326, 53.0129", \ + "4.44189, 5.18166, 6.66975, 9.53447, 15.5356, 27.8678, 53.2126", \ + "5.62275, 6.34302, 7.79286, 10.6185, 16.3685, 28.4342, 53.7129", \ + "7.5324, 8.2377, 9.649, 12.4911, 18.1345, 29.997, 54.6365", \ + "10.5804, 11.2812, 12.6862, 15.4977, 21.2536, 32.7849, 57.1796" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.79513, 2.80271, 2.82692, 2.8605, 2.88956, 2.90628, 2.91588", \ + "2.81656, 2.8159, 2.82948, 2.85675, 2.89113, 2.91058, 2.92479", \ + "2.9751, 2.95809, 2.95723, 2.97787, 2.99865, 3.0234, 3.03758", \ + "3.39934, 3.36697, 3.34788, 3.33918, 3.34999, 3.37066, 3.38759", \ + "4.37632, 4.31355, 4.25619, 4.21876, 4.21082, 4.21118, 4.22612", \ + "6.39038, 6.29838, 6.19115, 6.10164, 6.03894, 6.00842, 5.99966", \ + "10.4181, 10.3045, 10.1386, 9.94832, 9.80484, 9.71421, 9.65453" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.794584, 0.800974, 0.826057, 0.8608, 0.890705, 0.90786, 0.917781", \ + "0.816466, 0.815661, 0.829394, 0.85772, 0.875702, 0.898436, 0.906251", \ + "0.971005, 0.957906, 0.9645, 0.968169, 0.992033, 1.00903, 1.02373", \ + "1.3969, 1.36251, 1.34655, 1.33513, 1.36097, 1.38347, 1.38591", \ + "2.3612, 2.30418, 2.24832, 2.21062, 2.22079, 2.21895, 2.26669", \ + "4.37615, 4.28333, 4.17259, 4.08203, 4.01123, 3.99892, 3.97207", \ + "8.40471, 8.29271, 8.12323, 7.93114, 7.7981, 7.71486, 7.66192" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.39612, 3.40527, 3.42964, 3.4636, 3.49256, 3.50889, 3.51698", \ + "3.41894, 3.41728, 3.43064, 3.45776, 3.48794, 3.5159, 3.52783", \ + "3.58192, 3.56425, 3.56379, 3.57555, 3.60335, 3.62907, 3.6414", \ + "4.01641, 3.98316, 3.95812, 3.94951, 3.96197, 3.98008, 4.00001", \ + "5.02163, 4.96279, 4.89998, 4.86084, 4.84382, 4.8516, 4.86675", \ + "7.01564, 6.92623, 6.81294, 6.71633, 6.6509, 6.61654, 6.60269", \ + "10.3578, 10.2429, 10.0825, 9.90288, 9.7593, 9.66594, 9.60986" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.5914, 1.60047, 1.6255, 1.66022, 1.68986, 1.70655, 1.71922", \ + "1.61564, 1.61471, 1.62604, 1.65476, 1.68311, 1.68409, 1.69334", \ + "1.7756, 1.76082, 1.76263, 1.77516, 1.79529, 1.79793, 1.82096", \ + "2.21296, 2.17884, 2.15955, 2.15014, 2.17524, 2.18231, 2.19203", \ + "3.21114, 3.15467, 3.09223, 3.04589, 3.03354, 3.06529, 3.12413", \ + "5.20068, 5.11161, 4.99137, 4.89546, 4.82803, 4.80125, 4.80173", \ + "8.54007, 8.42891, 8.26037, 8.08396, 7.9422, 7.84625, 7.77959" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 6.79845; + rise_capacitance : 6.73189; + rise_capacitance_range (4.29717, 6.73189); + fall_capacitance : 6.79845; + fall_capacitance_range (4.2737, 6.79845); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.7199, 35.7199, 39.2532, 50.354, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.26665, 2.27808, 2.32142, 2.42697, 2.67845, 3.31822, 5.18594" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.780818, 0.78985, 0.827581, 0.938131, 1.18978, 1.82216, 3.69262" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.63889, -0.631941, -0.59862, -0.506514, -0.295522, 0.151057, 1.06503" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.0041, 1.01771, 1.06754, 1.17806, 1.40869, 1.88476, 2.84142" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720933, 0.725642, 0.762832, 0.856544, 1.06816, 1.51584, 2.43083" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.35416, -0.342264, -0.298629, -0.190283, 0.0409945, 0.516901, 1.47311" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.550829; + rise_capacitance : 0.550829; + rise_capacitance_range (0.468188, 0.550829); + fall_capacitance : 0.550534; + fall_capacitance_range (0.406312, 0.550534); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.1353, -16.0604, -15.9313, -14.5483, -17.0551, -17.0128, -24.8523", \ + "-17.3283, -17.2535, -17.1243, -16.9487, -18.2482, -18.2059, -26.0454", \ + "-19.6634, -19.5886, -19.4595, -19.2838, -20.5833, -20.541, -28.3805", \ + "-22.981, -20.0573, -23.9257, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.2483, -28.1735, -28.0444, -27.8687, -29.1682, -29.1259, -36.9654", \ + "-37.2185, -37.1436, -37.0145, -36.8388, -38.1383, -42.0935, -45.9355", \ + "-54.0921, -54.0172, -53.8881, -56.5918, -55.012, -58.9672, -62.8091" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.7681, -11.7099, -13.5349, -19.6631, -22.8474, -30.8971, -32.0242", \ + "-10.9082, -11.85, -13.675, -17.0911, -22.9875, -31.0373, -32.1643", \ + "-11.1696, -12.1113, -13.9363, -21.3499, -27.2463, -31.2986, -36.4232", \ + "-14.4263, -12.5583, -18.3808, -20.4688, -27.6933, -31.7455, -35.6445", \ + "-14.9939, -15.9356, -17.7607, -21.1768, -27.0732, -35.1229, -36.25", \ + "-13.7537, -14.6954, -16.5204, -19.9365, -25.8329, -33.8827, -35.0098", \ + "-7.2757, -8.21745, -14.04, -16.3379, -23.3525, -27.4047, -32.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.1353, -16.0604, -15.9313, -14.5483, -17.0551, -17.0128, -24.8523", \ + "-17.3283, -17.2535, -17.1243, -16.9487, -18.2482, -18.2059, -26.0454", \ + "-19.6634, -19.5886, -19.4595, -19.2838, -20.5833, -20.541, -28.3805", \ + "-22.981, -20.0573, -23.9257, -22.5, -21.052, -25.0072, -31.6602", \ + "-28.2483, -28.1735, -28.0444, -27.8687, -29.1682, -29.1259, -36.9654", \ + "-37.2185, -37.1436, -37.0145, -36.8388, -38.1383, -42.0935, -45.9355", \ + "-54.0921, -54.0172, -53.8881, -56.5918, -55.012, -58.9672, -62.8091" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3644, 38.0796, 45.3711, 53.3986, 71.2319, 98.0117", \ + "38.4645, 35.8419, 38.5571, 43.8486, 53.8761, 71.7094, 98.4892", \ + "39.3711, 40.7461, 39.4637, 44.7552, 54.7827, 72.6161, 99.3958", \ + "38.1812, 38.3684, 41.0835, 48.375, 56.4025, 74.2359, 102.656", \ + "40.3615, 41.7364, 44.4516, 49.7431, 55.7731, 73.6064, 104.384", \ + "39.1026, 40.4776, 43.1928, 48.4842, 58.5118, 76.3451, 103.125", \ + "36.585, 37.9599, 40.6751, 43.0006, 51.9966, 69.8299, 96.6097" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 40.5244, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.9895, 35.3644, 38.0796, 45.3711, 53.3986, 71.2319, 98.0117", \ + "38.4645, 35.8419, 38.5571, 43.8486, 53.8761, 71.7094, 98.4892", \ + "39.3711, 40.7461, 39.4637, 44.7552, 54.7827, 72.6161, 99.3958", \ + "38.1812, 38.3684, 41.0835, 48.375, 56.4025, 74.2359, 102.656", \ + "40.3615, 41.7364, 44.4516, 49.7431, 55.7731, 73.6064, 104.384", \ + "39.1026, 40.4776, 43.1928, 48.4842, 58.5118, 76.3451, 103.125", \ + "36.585, 37.9599, 40.6751, 43.0006, 51.9966, 69.8299, 96.6097" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.1187, 33.8678, 33.4082, 33.8647, 34.5262, 36.8689, 49.736", \ + "35.013, 34.7622, 34.3026, 33.5519, 35.4206, 37.7633, 50.6304", \ + "40.7753, 40.5244, 36.0673, 39.3141, 37.1853, 39.528, 52.3952", \ + "41.3599, 43.9578, 43.4982, 40, 40.6187, 46.9589, 53.0176", \ + "50.6911, 50.4402, 45.9831, 49.2299, 47.1011, 49.4439, 58.3135", \ + "58.1209, 57.87, 57.4104, 56.6597, 58.5284, 60.8712, 69.7408", \ + "78.8229, 78.5721, 78.1125, 74.4824, 75.233, 77.5757, 86.4453" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00112447, 0.00116344, 0.00120313, 0.00124101, 0.00129503, 0.00130858, 0.00132673" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00156467, 0.00158031, 0.00147425, 0.00145438, 0.00141485, 0.00142137, 0.0014057" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0932731, 0.0941698, 0.0938819, 0.0935446, 0.0939407, 0.093636, 0.0934212" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0908618, -0.0904597, -0.091045, -0.0902254, -0.091504, -0.0906603, -0.0905381" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0399863, 0.0395302, 0.0436915, 0.0614249, 0.10979, 0.222292, 0.461509" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237826, 0.237265, 0.244295, 0.268354, 0.325271, 0.446606, 0.70169" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219075, 0.218582, 0.221963, 0.240842, 0.288488, 0.40127, 0.640337" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0583697, 0.058117, 0.0648529, 0.0888726, 0.144919, 0.267009, 0.521974" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.1667, 1.16586, 1.16839, 1.18627, 1.23879, 1.35325, 1.59638" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.919618, 0.918807, 0.924675, 0.950405, 1.00841, 1.13319, 1.39675" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.15892, 1.1578, 1.1603, 1.17812, 1.22972, 1.34528, 1.58884" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.937211, 0.936693, 0.942956, 0.967993, 1.02638, 1.15169, 1.41553" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.527132; + rise_capacitance : 0.52165; + rise_capacitance_range (0.456766, 0.52165); + fall_capacitance : 0.527132; + fall_capacitance_range (0.450155, 0.527132); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.3379, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-17.1546, -17.0478, -16.8578, -16.5723, -17.89, -17.5034, -25.7959", \ + "-14.7587, -18.6494, -18.4594, -18.1739, -19.4915, -19.105, -23.4", \ + "-20.6836, -17.728, -21.5355, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4788, -23.372, -23.182, -22.8965, -24.2142, -27.8251, -32.1202", \ + "-32.734, -32.6272, -32.4373, -32.1518, -33.4694, -33.0828, -37.3779", \ + "-43.1131, -43.0063, -42.8163, -45.4102, -43.8485, -47.4594, -51.7545" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.11188, -9.46958, -12.09, -19.6631, -25.1533, -31.3181, -31.8191", \ + "-12.6582, -14.0159, -16.6363, -17.4998, -25.7022, -31.8669, -32.368", \ + "-13.7077, -15.0654, -17.6858, -18.5493, -26.7517, -32.9164, -33.4175", \ + "-14.4263, -16.9717, -19.5922, -23.125, -28.658, -34.8227, -38.0957", \ + "-15.5729, -16.9306, -19.551, -24.412, -28.6168, -34.7815, -39.2801", \ + "-15.4906, -16.8483, -19.4687, -24.3297, -28.5345, -34.6992, -39.1978", \ + "-15.3259, -16.6836, -19.3041, -23.0469, -28.3699, -34.5346, -39.0332" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-16.3379, -16.2311, -16.0411, -14.5483, -17.0733, -16.6867, -24.9792", \ + "-17.1546, -17.0478, -16.8578, -16.5723, -17.89, -17.5034, -25.7959", \ + "-14.7587, -18.6494, -18.4594, -18.1739, -19.4915, -19.105, -23.4", \ + "-20.6836, -17.728, -21.5355, -20, -18.5702, -22.1811, -29.2871", \ + "-23.4788, -23.372, -23.182, -22.8965, -24.2142, -27.8251, -32.1202", \ + "-32.734, -32.6272, -32.4373, -32.1518, -33.4694, -33.0828, -37.3779", \ + "-43.1131, -43.0063, -42.8163, -45.4102, -43.8485, -47.4594, -51.7545" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0918, 38.3141, 40.7394, 42.8003, 54.7481, 71.9782, 97.4758", \ + "37.6961, 38.9185, 41.3438, 46.1168, 55.3524, 72.5826, 98.0802", \ + "38.8575, 40.0799, 42.5052, 47.2782, 56.5138, 73.7439, 99.2416", \ + "38.1812, 42.2133, 44.6385, 46.4531, 58.6472, 75.8773, 103.375", \ + "41.4696, 42.6919, 45.1172, 49.8902, 59.1259, 76.356, 105.851", \ + "42.4269, 43.6492, 46.0745, 50.8475, 60.0832, 77.3133, 106.808", \ + "44.3415, 45.5639, 47.9892, 49.8828, 61.9978, 75.2304, 104.726" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "37.0918, 38.3141, 40.7394, 42.8003, 54.7481, 71.9782, 97.4758", \ + "37.6961, 38.9185, 41.3438, 46.1168, 55.3524, 72.5826, 98.0802", \ + "38.8575, 40.0799, 42.5052, 47.2782, 56.5138, 73.7439, 99.2416", \ + "38.1812, 42.2133, 44.6385, 46.4531, 58.6472, 75.8773, 103.375", \ + "41.4696, 42.6919, 45.1172, 49.8902, 59.1259, 76.356, 105.851", \ + "42.4269, 43.6492, 46.0745, 50.8475, 60.0832, 77.3133, 106.808", \ + "44.3415, 45.5639, 47.9892, 49.8828, 61.9978, 75.2304, 104.726" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "34.3213, 34.0385, 33.518, 33.8647, 34.5443, 38.318, 49.863", \ + "34.8393, 34.5565, 34.036, 33.1755, 35.0623, 38.836, 50.381", \ + "35.8705, 35.5877, 35.0672, 38.2042, 36.0935, 39.8672, 51.4122", \ + "39.0625, 41.6285, 41.1081, 37.5, 38.1369, 41.9106, 50.6445", \ + "45.9216, 45.6388, 45.1183, 44.2578, 46.1446, 45.9208, 53.4683", \ + "53.6365, 53.3537, 52.8332, 51.9727, 53.8595, 53.6357, 61.1832", \ + "67.8439, 67.5612, 67.0407, 63.3008, 64.0695, 67.8432, 75.3906" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0313853, -0.0321334, -0.033146, -0.0335218, -0.0337093, -0.0335901, -0.0334362" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0380366, 0.0385928, 0.0381312, 0.0386398, 0.0382561, 0.0384232, 0.0382343" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0893739, 0.0896469, 0.0898302, 0.0897155, 0.0894923, 0.0883212, 0.0878279" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0841836, -0.0847983, -0.0843587, -0.0852803, -0.0844135, -0.0847429, -0.0843808" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0369371, 0.0378257, 0.0437894, 0.0640909, 0.118246, 0.243639, 0.510003" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.272413, 0.269711, 0.276339, 0.29955, 0.360573, 0.496372, 0.779412" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.253095, 0.254305, 0.260189, 0.280004, 0.334286, 0.459823, 0.725313" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0538778, 0.0517951, 0.0575978, 0.0808172, 0.141251, 0.277194, 0.560974" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.1668, 1.16706, 1.1727, 1.19303, 1.24978, 1.3746, 1.65321" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.948787, 0.946037, 0.951529, 0.974289, 1.03786, 1.17962, 1.46916" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19659, 1.19669, 1.20212, 1.22211, 1.2785, 1.40376, 1.68239" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.9275, 0.924671, 0.930374, 0.95305, 1.01744, 1.1594, 1.44945" \ + ); + } + } + } + } + cell (SDFHx1_ASAP7_75t_L) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1041.59; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1410.79; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1177.18; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1247.55; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1077.23; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1446.65; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1074.77; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1444.42; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1039.36; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1408.56; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1174.95; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1225.3; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1213.04; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1283.42; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1210.82; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1261.16; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1667.85; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1393.97; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1685.24; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1759.41; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1693.57; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1419.69; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1695.06; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1421.18; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1665.63; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1391.75; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1494.06; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1568.23; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1721.1; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1795.27; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1529.92; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1604.1; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1413.84; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "28.7938, 31.4567, 36.0321, 43.9159, 57.8573, 84.3891, 136.961", \ + "29.9304, 32.5883, 37.1657, 45.0491, 58.9913, 85.523, 138.095", \ + "31.6471, 34.3408, 38.9198, 46.8031, 60.7443, 87.2766, 139.85", \ + "33.8206, 36.4735, 41.0588, 48.9417, 62.8803, 89.4146, 141.98", \ + "36.6485, 39.3099, 43.8854, 51.7689, 65.7101, 92.2589, 144.84", \ + "39.8029, 42.4575, 47.0255, 54.9066, 68.8439, 95.3709, 147.997", \ + "42.4363, 45.0751, 49.6245, 57.4954, 71.4217, 97.9432, 150.566" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.32, 15.4386, 22.9964, 37.3507, 66.186, 124.913, 244.298", \ + "11.3215, 15.4463, 22.9965, 37.351, 66.1869, 124.913, 244.298", \ + "11.3193, 15.4412, 22.9977, 37.353, 66.1882, 124.914, 244.298", \ + "11.3215, 15.4404, 23.0366, 37.3663, 66.1983, 124.915, 244.3", \ + "11.324, 15.4425, 23.1072, 37.3981, 66.225, 124.926, 244.331", \ + "11.3219, 15.4564, 22.9945, 37.3758, 66.4472, 125.054, 244.338", \ + "11.3392, 15.4891, 23.0159, 37.3751, 66.1998, 124.884, 244.642" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "26.7931, 29.6436, 34.4727, 42.2164, 55.301, 79.4036, 126.531", \ + "27.922, 30.7713, 35.6004, 43.3449, 56.4285, 80.5316, 127.659", \ + "29.7842, 32.6288, 37.4555, 45.2009, 58.2855, 82.3896, 129.518", \ + "32.123, 34.9814, 39.8146, 47.5615, 60.6474, 84.7519, 131.881", \ + "35.1401, 37.9748, 42.7925, 50.5366, 63.6499, 87.7503, 134.861", \ + "38.6013, 41.4344, 46.2492, 53.9933, 67.0876, 91.2213, 138.367", \ + "41.7421, 44.5794, 49.4002, 57.1672, 70.2857, 94.414, 141.567" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3781, 15.1236, 21.7977, 34.1501, 58.3334, 107.425, 207.957", \ + "11.3811, 15.1249, 21.7991, 34.1581, 58.3337, 107.425, 207.957", \ + "11.3724, 15.1232, 21.796, 34.1544, 58.3315, 107.424, 207.957", \ + "11.4379, 15.1659, 21.8363, 34.1937, 58.3608, 107.437, 207.961", \ + "11.4559, 15.1966, 21.8595, 34.439, 58.4073, 107.48, 208.012", \ + "11.5869, 15.3488, 21.9482, 34.2786, 58.4187, 108.018, 208.009", \ + "11.9403, 15.629, 22.2314, 34.4834, 59.073, 107.814, 211.023" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.708171, 0.697098, 0.689586, 0.68606, 0.685677, 0.686157, 0.687032", \ + "0.706594, 0.695455, 0.687933, 0.684478, 0.684083, 0.684591, 0.685388", \ + "0.713243, 0.702235, 0.694711, 0.691367, 0.690928, 0.691508, 0.692268", \ + "0.736481, 0.724996, 0.716653, 0.713812, 0.712627, 0.712576, 0.713048", \ + "0.80257, 0.791357, 0.787293, 0.780146, 0.779667, 0.77967, 0.778186", \ + "0.95197, 0.940352, 0.931665, 0.930386, 0.946918, 0.929342, 0.931924", \ + "1.27515, 1.26375, 1.25593, 1.25082, 1.24944, 1.25028, 1.2618" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.717138, 0.701609, 0.690385, 0.684902, 0.682046, 0.680285, 0.679222", \ + "0.715403, 0.699768, 0.688443, 0.682931, 0.680024, 0.678283, 0.677093", \ + "0.720939, 0.70497, 0.693902, 0.688301, 0.685497, 0.683811, 0.682739", \ + "0.744628, 0.727826, 0.715907, 0.711011, 0.708181, 0.706438, 0.705392", \ + "0.805849, 0.78895, 0.776953, 0.771022, 0.768465, 0.766419, 0.76705", \ + "0.952903, 0.936305, 0.922012, 0.915731, 0.912513, 0.911289, 0.909805", \ + "1.27954, 1.2604, 1.24611, 1.23718, 1.23376, 1.23185, 1.23114" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.881117, 0.870024, 0.862494, 0.858962, 0.858545, 0.859052, 0.859873", \ + "0.879547, 0.868391, 0.860837, 0.857361, 0.856928, 0.857458, 0.85822", \ + "0.885717, 0.87468, 0.867117, 0.863728, 0.86323, 0.863811, 0.864537", \ + "0.908225, 0.896621, 0.890558, 0.886961, 0.886481, 0.887042, 0.887661", \ + "0.974164, 0.963508, 0.955222, 0.951318, 0.950808, 0.95165, 0.953007", \ + "1.12451, 1.11316, 1.10384, 1.10135, 1.10009, 1.0997, 1.10123", \ + "1.44851, 1.43666, 1.42898, 1.42469, 1.42303, 1.42328, 1.42459" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.868047, 0.852499, 0.841254, 0.835736, 0.832872, 0.831088, 0.829996", \ + "0.866065, 0.850465, 0.83917, 0.833671, 0.830759, 0.829005, 0.827816", \ + "0.870741, 0.854749, 0.843701, 0.838156, 0.835402, 0.833748, 0.832697", \ + "0.892549, 0.876355, 0.86476, 0.859041, 0.856045, 0.854357, 0.853282", \ + "0.955342, 0.938471, 0.927737, 0.927667, 0.920094, 0.914398, 0.908926", \ + "1.1027, 1.08648, 1.07241, 1.06521, 1.0632, 1.08293, 1.06161", \ + "1.42969, 1.41055, 1.39627, 1.38751, 1.39612, 1.39821, 1.4734" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.539634; + rise_capacitance : 0.53777; + rise_capacitance_range (0.43718, 0.53777); + fall_capacitance : 0.539634; + fall_capacitance_range (0.433699, 0.539634); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.0571, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.677563, 0.676454, 0.684657, 0.718415, 0.798311, 0.972864, 1.33821" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.55413, 0.553126, 0.561194, 0.595155, 0.675389, 0.849342, 1.21416" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319202, 0.317723, 0.323304, 0.34598, 0.406167, 0.549771, 0.864065" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486964, 0.485046, 0.493304, 0.521797, 0.593169, 0.752112, 1.08662" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472149, 0.471013, 0.476525, 0.49896, 0.559788, 0.702424, 1.01724" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.333493, 0.331695, 0.339923, 0.368554, 0.439192, 0.598612, 0.933341" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.304644, 0.302361, 0.308581, 0.330701, 0.392971, 0.536531, 0.849518" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.501441, 0.500003, 0.507175, 0.538503, 0.608119, 0.766366, 1.09932" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473433, 0.471439, 0.477255, 0.499042, 0.561428, 0.705252, 1.01815" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.332518, 0.330708, 0.337918, 0.368312, 0.437891, 0.596899, 0.93034" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.793683, 0.792005, 0.79851, 0.826882, 0.897969, 1.05492, 1.38674" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.571028, 0.569519, 0.575998, 0.605101, 0.675369, 0.832578, 1.16503" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.684359, 0.683874, 0.691991, 0.72541, 0.804479, 0.979068, 1.34339" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.642436, 0.64221, 0.650262, 0.683661, 0.762873, 0.937061, 1.30014" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.323621, 0.321868, 0.327771, 0.350417, 0.410629, 0.554182, 0.868546" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483334, 0.481637, 0.489875, 0.518421, 0.589733, 0.748634, 1.083" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473074, 0.47175, 0.477375, 0.499804, 0.56062, 0.703644, 1.01816" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.333317, 0.33134, 0.339502, 0.368115, 0.438715, 0.597975, 0.93248" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.684493, 0.683048, 0.690877, 0.72372, 0.80241, 0.977842, 1.34091" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.674853, 0.673204, 0.681714, 0.714189, 0.792727, 0.967733, 1.32968" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.323204, 0.321099, 0.328311, 0.350428, 0.410351, 0.554187, 0.868579" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483674, 0.481918, 0.490218, 0.518479, 0.58976, 0.748995, 1.08332" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47352, 0.471153, 0.477785, 0.499712, 0.560118, 0.703662, 1.01789" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.334039, 0.332142, 0.340333, 0.368973, 0.439774, 0.598754, 0.933269" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.676298, 0.67449, 0.682911, 0.716283, 0.795772, 0.971362, 1.33604" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.553078, 0.551619, 0.559682, 0.59303, 0.672856, 0.847896, 1.21232" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.31918, 0.31668, 0.323859, 0.346032, 0.405924, 0.549755, 0.864129" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486932, 0.485005, 0.493327, 0.521402, 0.592914, 0.751532, 1.08664" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472537, 0.470593, 0.477138, 0.498968, 0.559553, 0.702993, 1.01729" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.333444, 0.331704, 0.339949, 0.368674, 0.439418, 0.598498, 0.933355" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.304804, 0.302438, 0.308843, 0.33063, 0.392754, 0.536493, 0.849424" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.499793, 0.498317, 0.505352, 0.536969, 0.604925, 0.764429, 1.09796" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.474082, 0.47223, 0.478139, 0.499538, 0.561428, 0.705642, 1.0188" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.330383, 0.328563, 0.335813, 0.366601, 0.435579, 0.594389, 0.928434" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.744915, 0.742704, 0.748934, 0.777337, 0.847768, 1.00544, 1.33852" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.562933, 0.561003, 0.567458, 0.596475, 0.6665, 0.823682, 1.1571" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.307625, 0.305998, 0.311822, 0.33391, 0.396088, 0.539781, 0.852803" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.498291, 0.496755, 0.50392, 0.535246, 0.604861, 0.763175, 1.0961" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47824, 0.476853, 0.482536, 0.504324, 0.567235, 0.710544, 1.02349" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327205, 0.325398, 0.3326, 0.362978, 0.432544, 0.591533, 0.925156" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.791035, 0.789613, 0.795853, 0.824338, 0.895611, 1.05223, 1.38476" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.560468, 0.558503, 0.564981, 0.594191, 0.664411, 0.821603, 1.15463" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.644023; + rise_capacitance : 0.638141; + rise_capacitance_range (0.536307, 0.638141); + fall_capacitance : 0.644023; + fall_capacitance_range (0.575182, 0.644023); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.11871, -4.68022, -3.85058, -5.19043, -3.22819, -4.92322, -12.3108", \ + "-5.47298, -5.03449, -4.20485, -6.73244, -7.57996, -5.27749, -12.6651", \ + "-6.16608, -5.72759, -4.89795, -7.42555, -8.27306, -5.97059, -9.36066", \ + "-10.2808, -7.05205, -6.22241, -7.5, -5.60002, -7.29505, -13.5449", \ + "-9.89245, -9.45396, -8.62432, -11.1519, -8.00193, -9.69696, -13.087", \ + "-13.7083, -13.2698, -12.4402, -10.9702, -11.8178, -13.5128, -16.9029", \ + "-17.388, -16.9495, -16.1199, -17.4805, -15.4975, -17.1925, -20.5826" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.07636, 3.69597, 4.89203, 4.34082, 6.86239, 10.0697, 14.0139", \ + "-0.880873, 3.73624, 4.9323, 7.15178, 6.90266, 10.1099, 10.0566", \ + "-0.808341, -0.188729, 5.00483, 3.22682, 6.9752, 10.1825, 10.1292", \ + "1.30469, -0.0757006, 1.12036, 4.60938, 7.08822, 10.2955, 12.2422", \ + "-1.10993, -0.490322, 0.705742, 2.92522, 6.6736, 9.88087, 9.82757", \ + "-0.914092, -0.294479, 0.901584, 3.12107, 6.86944, 10.0767, 10.0234", \ + "-3.59766, -2.97805, -1.78199, 2.43749, 4.18587, 7.39314, 11.3373" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.11871, -4.68022, -3.85058, -5.19043, -3.22819, -4.92322, -8.31328", \ + "-5.47298, -5.03449, -4.20485, -6.73244, -3.58246, -5.27749, -8.66755", \ + "-6.16608, -5.72759, -4.89795, -7.42555, -8.27306, -5.97059, -9.36066", \ + "-10.2808, -7.05205, -6.22241, -7.5, -5.60002, -7.29505, -13.5449", \ + "-9.89245, -9.45396, -8.62432, -7.15441, -8.00193, -9.69696, -13.087", \ + "-13.7083, -13.2698, -12.4402, -10.9702, -11.8178, -13.5128, -16.9029", \ + "-17.388, -16.9495, -16.1199, -17.4805, -15.4975, -17.1925, -20.5826" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.73383, 4.78327, 6.79828, 7.68311, 11.173, 12.5332, 15.2536", \ + "3.31145, 4.36089, 6.3759, 6.07298, 10.7506, 12.1108, 14.8312", \ + "2.49688, 3.54631, 5.56133, 5.25841, 9.93602, 11.2962, 10.0192", \ + "-1.82129, 2.03791, 4.05292, 5, 8.42761, 9.78782, 9.62891", \ + "-1.5454, -0.495956, 1.51906, 5.21364, 5.89375, 7.25396, 9.97439", \ + "-0.817652, 0.231788, 2.2468, 1.94388, 6.62149, 7.9817, 10.7021", \ + "-3.22581, -2.17637, -0.161354, 0.633954, 4.21333, 5.57354, 8.29397" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.11871, -4.68022, -3.85058, -5.19043, -3.22819, -4.92322, -8.31328", \ + "-5.47298, -5.03449, -4.20485, -6.73244, -3.58246, -5.27749, -8.66755", \ + "-6.16608, -5.72759, -4.89795, -7.42555, -8.27306, -5.97059, -9.36066", \ + "-10.2808, -7.05205, -6.22241, -7.5, -5.60002, -7.29505, -13.5449", \ + "-9.89245, -9.45396, -8.62432, -7.15441, -8.00193, -9.69696, -13.087", \ + "-13.7083, -13.2698, -12.4402, -10.9702, -11.8178, -13.5128, -16.9029", \ + "-17.388, -16.9495, -16.1199, -17.4805, -15.4975, -17.1925, -20.5826" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.73383, 4.78327, 6.79828, 7.68311, 11.173, 12.5332, 15.2536", \ + "3.31145, 4.36089, 6.3759, 7.15178, 10.7506, 12.1108, 14.8312", \ + "2.49688, 3.54631, 5.56133, 5.25841, 9.93602, 11.2962, 10.1292", \ + "1.30469, 2.03791, 4.05292, 5, 8.42761, 10.2955, 12.2422", \ + "-1.10993, -0.490322, 1.51906, 5.21364, 6.6736, 9.88087, 9.97439", \ + "-0.817652, 0.231788, 2.2468, 3.12107, 6.86944, 10.0767, 10.7021", \ + "-3.22581, -2.17637, -0.161354, 2.43749, 4.21333, 7.39314, 11.3373" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.7109, 12.8594, 11.2359, 9.39697, 12.8087, 13.8174, 15.8347", \ + "14.134, 13.2825, 11.6589, 12.7275, 13.2318, 14.2404, 16.2577", \ + "14.9636, 14.1121, 12.4885, 13.557, 14.0614, 15.07, 17.0873", \ + "17.627, 15.7049, 14.0813, 12.3047, 15.6542, 12.6653, 15.8203", \ + "19.4767, 18.6251, 17.0016, 18.0701, 14.577, 15.5856, 17.6029", \ + "24.2559, 23.4044, 21.7808, 22.8494, 19.3562, 20.3648, 22.3821", \ + "33.5666, 32.7151, 31.0915, 25.2832, 24.6694, 25.678, 27.6953" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.8708, 9.79915, 7.7383, 5.03662, 1.68026, 0.992347, -2.94657", \ + "11.2514, 10.1798, 8.11892, 8.32466, 2.06088, 1.37297, -2.56594", \ + "12.0035, 10.9318, 8.87096, 9.07669, 2.81292, 2.12501, 2.18359", \ + "14.4707, 12.399, 10.3382, 8.09283, 4.28014, 3.59223, 0.781245", \ + "16.2578, 15.1861, 13.1252, 13.331, 7.06719, 6.37928, 2.44037", \ + "21.2423, 20.1706, 18.1098, 18.3155, 12.0517, 7.3663, 7.42489", \ + "28.853, 27.7813, 25.7205, 23.0469, 19.6624, 14.977, 11.0381" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.7109, 12.8594, 11.2359, 9.39697, 12.8087, 13.8174, 15.8347", \ + "14.1302, 13.2787, 11.6551, 12.7237, 13.228, 14.2366, 16.2539", \ + "14.956, 14.1044, 12.4809, 13.5494, 14.0538, 11.0649, 17.0797", \ + "17.627, 15.7049, 14.0813, 12.3047, 11.6567, 12.6653, 15.8203", \ + "19.5527, 18.7012, 17.0777, 18.1462, 14.653, 15.6617, 17.679", \ + "24.7275, 23.876, 22.2525, 23.321, 19.8278, 20.8365, 22.8538", \ + "31.8054, 30.9539, 29.3303, 27.5195, 26.9057, 23.9168, 25.9341" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5224, 8.7771, 3.3425, 1.7334, 0.215883, -2.43442, -2.59265", \ + "10.2587, 9.51344, 4.07884, 5.41621, 0.952225, -1.69808, -1.85631", \ + "11.6928, 10.9475, 5.5129, 6.85027, 2.38629, -0.26402, -0.422246", \ + "11.4404, 9.66363, 8.22653, 6.67969, 5.09992, 2.44961, -0.556068", \ + "15.2182, 14.4729, 13.0358, 10.3757, 5.91172, 3.26142, 3.10319", \ + "22.365, 17.6222, 16.1851, 13.525, 13.0585, 6.4107, 6.25247", \ + "26.7712, 26.0259, 24.5888, 23.0469, 21.4622, 14.8144, 10.6587" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.7109, 12.8594, 11.2359, 9.39697, 12.8087, 13.8174, 15.8347", \ + "14.134, 13.2825, 11.6589, 12.7275, 13.2318, 14.2404, 16.2577", \ + "14.9636, 14.1121, 12.4885, 13.557, 14.0614, 15.07, 17.0873", \ + "17.627, 15.7049, 14.0813, 12.3047, 15.6542, 12.6653, 15.8203", \ + "19.5527, 18.7012, 17.0777, 18.1462, 14.653, 15.6617, 17.679", \ + "24.7275, 23.876, 22.2525, 23.321, 19.8278, 20.8365, 22.8538", \ + "33.5666, 32.7151, 31.0915, 27.5195, 26.9057, 25.678, 27.6953" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.8708, 9.79915, 7.7383, 5.03662, 1.68026, 0.992347, -2.59265", \ + "11.2514, 10.1798, 8.11892, 8.32466, 2.06088, 1.37297, -1.85631", \ + "12.0035, 10.9475, 8.87096, 9.07669, 2.81292, 2.12501, 2.18359", \ + "14.4707, 12.399, 10.3382, 8.09283, 5.09992, 3.59223, 0.781245", \ + "16.2578, 15.1861, 13.1252, 13.331, 7.06719, 6.37928, 3.10319", \ + "22.365, 20.1706, 18.1098, 18.3155, 13.0585, 7.3663, 7.42489", \ + "28.853, 27.7813, 25.7205, 23.0469, 21.4622, 14.977, 11.0381" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0624707, -0.0625558, -0.0632871, -0.0636443, -0.062913, -0.0631048, -0.0625447" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0632921, 0.0637248, 0.0638756, 0.0637287, 0.0642105, 0.0637646, 0.0635916" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.097335, 0.0975031, 0.0978083, 0.0985586, 0.0979261, 0.0981318, 0.0977037" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0964762, -0.097429, -0.0974456, -0.0975034, -0.0979261, -0.0968772, -0.0965595" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0630233, -0.0625455, -0.0634508, -0.0635606, -0.0630471, -0.0630602, -0.0628089" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0633611, 0.063862, 0.0637265, 0.0640779, 0.0641264, 0.0638358, 0.0635761" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.057088, 0.0570261, 0.0573119, 0.0573749, 0.0572091, 0.0571193, 0.0569493" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.057088, -0.0570261, -0.0573119, -0.0573749, -0.0572091, -0.0571193, -0.0569493" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0507065, -0.0543141, -0.0581611, -0.0601849, -0.0624296, -0.0627513, -0.0633641" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0661367, 0.066639, 0.0669723, 0.066671, 0.0671509, 0.0663612, 0.0664142" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0957726, 0.0948047, 0.0942016, 0.0932517, 0.0938728, 0.0924754, 0.0921584" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.083442, -0.08667, -0.0880972, -0.0888702, -0.0903624, -0.090011, -0.0897797" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0481849, -0.052062, -0.0561801, -0.0596712, -0.0616309, -0.0620957, -0.0628431" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0655049, 0.0656542, 0.0660647, 0.0664004, 0.0664203, 0.0657777, 0.065716" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0961684, 0.0944772, 0.0943745, 0.0949942, 0.0945619, 0.093202, 0.0928981" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0891859, -0.0896117, -0.0913947, -0.0913753, -0.0917427, -0.0913149, -0.0907596" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0671256, -0.0667371, -0.0667748, -0.0668246, -0.0672714, -0.066961, -0.0664528" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0676841, 0.0673594, 0.0682708, 0.067854, 0.0683273, 0.067814, 0.067644" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0936835, 0.093816, 0.0939202, 0.0939088, 0.0941131, 0.0940001, 0.0937254" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0927137, -0.0924442, -0.0933711, -0.0926909, -0.0937514, -0.0927127, -0.0924829" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0702098, -0.070014, -0.0709297, -0.0711252, -0.0711877, -0.0703497, -0.0702972" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.073148, 0.0740214, 0.0743566, 0.0744992, 0.0745387, 0.0735193, 0.0730154" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0477269, 0.0481269, 0.0484963, 0.0485117, 0.0484118, 0.0478085, 0.0475427" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0466163, -0.047267, -0.0472976, -0.0472302, -0.0472777, -0.0467259, -0.0466247" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.184697, 0.181926, 0.183257, 0.199334, 0.255658, 0.406758, 0.744665" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.546852, 0.54207, 0.53989, 0.546718, 0.594902, 0.745223, 1.09499" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.53198, 0.528953, 0.530098, 0.546363, 0.602938, 0.753842, 1.08914" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193114, 0.188267, 0.185332, 0.193512, 0.241415, 0.391306, 0.741782" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.18762, 0.184411, 0.18627, 0.204582, 0.264614, 0.417284, 0.757612" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525068, 0.521714, 0.519944, 0.529926, 0.582287, 0.735834, 1.09182" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515317, 0.512843, 0.513628, 0.532067, 0.591919, 0.744607, 1.08221" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.188695, 0.184368, 0.182742, 0.193156, 0.245286, 0.398899, 0.755524" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.20142; + rise_capacitance : 1.19511; + rise_capacitance_range (0.99653, 1.19511); + fall_capacitance : 1.20142; + fall_capacitance_range (0.970167, 1.20142); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.56738, -5.83094, -4.41248, -0.566406, 2.57465, 4.85984, 4.40308", \ + "-7.40493, -6.66849, -5.25003, -2.63076, 1.73709, 4.02229, 3.56553", \ + "-9.01868, -8.28224, -6.86377, -4.24451, 0.12335, 2.40855, 1.95179", \ + "-10.7739, -11.2643, -5.84833, -5.9375, -2.8587, -0.573508, 0.107427", \ + "-12.9856, -12.2492, -10.8307, -8.21142, -3.84357, -1.55837, -2.01513", \ + "-15.1669, -14.4304, -13.012, -10.3927, -6.02486, -3.73967, -4.19643", \ + "-15.3909, -14.6545, -13.236, -13.4277, -10.2464, -7.96121, -4.42047" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-15.5647, -15.1911, -14.4874, -11.2539, -14.266, -16.2903, -20.3389", \ + "-16.7726, -16.399, -15.6953, -14.4618, -15.4739, -17.4982, -21.5467", \ + "-19.1228, -18.7492, -18.0454, -16.812, -17.8241, -19.8484, -23.8969", \ + "-22.3535, -19.1897, -18.486, -20, -18.2646, -20.2889, -27.1973", \ + "-27.39, -27.0164, -26.3127, -25.0792, -26.0913, -28.1156, -32.1642", \ + "-34.8485, -34.4749, -33.7712, -32.5377, -33.5499, -35.5742, -39.6227", \ + "-48.9661, -48.5925, -47.8888, -45.4883, -47.6674, -45.6942, -49.7427" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.73633, -0.782458, -0.8723, -3.91113, -1.48366, -2.49165, -9.16625", \ + "-4.78273, -4.82636, -0.918703, -1.10872, -1.53007, -2.53805, -9.21266", \ + "-4.85965, -4.90328, -0.995624, -1.18564, -1.60699, -2.61497, -9.28958", \ + "-3.78174, -4.99358, -1.08592, -4.0625, -1.69729, -2.70527, -8.26171", \ + "-4.87638, -4.92001, -1.01235, -1.20236, -1.62371, -2.6317, -5.3088", \ + "-3.71254, -3.75617, 0.151486, -0.0385284, -0.459878, -1.46786, -4.14497", \ + "2.68188, 2.63825, 2.54841, 3.52539, 5.93454, 0.92906, -1.74805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.41553, 4.18062, 5.65319, 9.5752, 12.8757, 14.8285, 14.115", \ + "3.04215, 3.80724, 5.27981, 7.99454, 12.5023, 14.4551, 13.7416", \ + "2.31319, 3.07828, 4.55086, 7.26559, 11.7734, 13.7261, 13.0127", \ + "2.13379, 1.6916, 3.16418, 7.14844, 10.3867, 12.3394, 12.7539", \ + "-1.56193, 3.20066, 4.67324, 7.38797, 7.89823, 9.851, 13.135", \ + "-5.39914, -0.636552, 0.836023, 3.55075, 8.05852, 10.0113, 13.2953", \ + "-8.5149, -7.74981, -6.27723, -1.56251, 0.945262, 6.89553, 10.1796" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.73633, -0.782458, -0.8723, -0.566406, 2.57465, 4.85984, 4.40308", \ + "-4.78273, -4.82636, -0.918703, -1.10872, 1.73709, 4.02229, 3.56553", \ + "-4.85965, -4.90328, -0.995624, -1.18564, 0.12335, 2.40855, 1.95179", \ + "-3.78174, -4.99358, -1.08592, -4.0625, -1.69729, -0.573508, 0.107427", \ + "-4.87638, -4.92001, -1.01235, -1.20236, -1.62371, -1.55837, -2.01513", \ + "-3.71254, -3.75617, 0.151486, -0.0385284, -0.459878, -1.46786, -4.14497", \ + "2.68188, 2.63825, 2.54841, 3.52539, 5.93454, 0.92906, -1.74805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.41553, 4.18062, 5.65319, 9.5752, 12.8757, 14.8285, 14.115", \ + "3.04215, 3.80724, 5.27981, 7.99454, 12.5023, 14.4551, 13.7416", \ + "2.31319, 3.07828, 4.55086, 7.26559, 11.7734, 13.7261, 13.0127", \ + "2.13379, 1.6916, 3.16418, 7.14844, 10.3867, 12.3394, 12.7539", \ + "-1.56193, 3.20066, 4.67324, 7.38797, 7.89823, 9.851, 13.135", \ + "-5.39914, -0.636552, 0.836023, 3.55075, 8.05852, 10.0113, 13.2953", \ + "-8.5149, -7.74981, -6.27723, -1.56251, 0.945262, 6.89553, 10.1796" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.0434, 15.2313, 13.6613, 11.9375, 9.89187, 7.50727, 7.17136", \ + "20.8106, 19.996, 18.426, 15.522, 10.6591, 8.27448, 7.93857", \ + "22.2927, 21.4782, 19.9081, 17.0042, 12.1412, 9.7566, 9.4207", \ + "22.1914, 24.2332, 18.6656, 16.9141, 14.8963, 12.5117, 9.63777", \ + "25.7237, 24.9091, 23.339, 20.4351, 15.5722, 13.1876, 12.8516", \ + "29.0271, 28.2126, 26.6425, 23.7386, 18.8756, 16.491, 16.1551", \ + "30.3355, 29.5209, 27.9508, 27.0469, 24.1814, 17.7993, 17.4634" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "24.3466, 23.9121, 19.0887, 18.7183, 18.0879, 19.0463, 24.9606", \ + "25.4556, 25.0211, 20.1976, 22.7151, 19.1968, 20.1552, 26.0696", \ + "27.6177, 27.1832, 22.3597, 24.8772, 21.3589, 22.3173, 28.2317", \ + "29.2505, 27.2865, 26.4605, 26.1328, 25.4597, 26.4181, 29.4727", \ + "35.029, 34.5945, 33.7686, 32.2885, 32.7678, 33.7262, 35.643", \ + "42.0737, 41.6392, 40.8132, 39.3332, 39.8124, 40.7709, 42.6877", \ + "57.8571, 53.4251, 52.5992, 52.3555, 51.5984, 52.5568, 54.4736" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.65629, 9.24429, 8.45858, 8.14315, 7.26703, 7.72043, 12.6247", \ + "9.78044, 9.36844, 8.58273, 7.16447, 7.39117, 7.84458, 12.7489", \ + "10.0121, 9.60011, 8.8144, 7.39614, 7.62284, 8.07624, 12.9805", \ + "11.4404, 9.99694, 9.21123, 8.90625, 8.01967, 8.47307, 10.498", \ + "13.87, 13.458, 8.67482, 7.25656, 7.48326, 7.93666, 12.841", \ + "12.7972, 12.3852, 11.5995, 10.1812, 6.41044, 6.86384, 11.7681", \ + "10.6516, 10.2396, 9.45385, 5.15626, 4.26479, 4.71819, 5.625" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.71967, 5.03783, 3.72211, 2.37305, -2.68943, -4.50305, 5.07873", \ + "10.5652, 5.88337, 4.56765, 2.14803, -1.84389, -3.65751, 9.92178", \ + "12.2247, 7.54284, 6.22712, 3.8075, -0.184418, -1.99803, 7.58375", \ + "12.4707, 10.7353, 9.41962, 9, 3.00808, 1.19446, 4.78124", \ + "17.2989, 16.6145, 15.2988, 12.8792, 8.88728, 3.07616, 4.66294", \ + "27.0341, 26.3498, 21.0365, 18.6169, 14.625, 12.8114, 10.4007", \ + "42.4094, 41.725, 36.4118, 35.9922, 30.0003, 24.1892, 21.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.0434, 15.2313, 13.6613, 11.9375, 9.89187, 7.72043, 12.6247", \ + "20.8106, 19.996, 18.426, 15.522, 10.6591, 8.27448, 12.7489", \ + "22.2927, 21.4782, 19.9081, 17.0042, 12.1412, 9.7566, 12.9805", \ + "22.1914, 24.2332, 18.6656, 16.9141, 14.8963, 12.5117, 10.498", \ + "25.7237, 24.9091, 23.339, 20.4351, 15.5722, 13.1876, 12.8516", \ + "29.0271, 28.2126, 26.6425, 23.7386, 18.8756, 16.491, 16.1551", \ + "30.3355, 29.5209, 27.9508, 27.0469, 24.1814, 17.7993, 17.4634" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "24.3466, 23.9121, 19.0887, 18.7183, 18.0879, 19.0463, 24.9606", \ + "25.4556, 25.0211, 20.1976, 22.7151, 19.1968, 20.1552, 26.0696", \ + "27.6177, 27.1832, 22.3597, 24.8772, 21.3589, 22.3173, 28.2317", \ + "29.2505, 27.2865, 26.4605, 26.1328, 25.4597, 26.4181, 29.4727", \ + "35.029, 34.5945, 33.7686, 32.2885, 32.7678, 33.7262, 35.643", \ + "42.0737, 41.6392, 40.8132, 39.3332, 39.8124, 40.7709, 42.6877", \ + "57.8571, 53.4251, 52.5992, 52.3555, 51.5984, 52.5568, 54.4736" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0114948, 0.00768607, 0.00368496, 0.00710466, 0.0279743, 0.0887479, 0.228749" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.305579, 0.301717, 0.295002, 0.296948, 0.321, 0.386186, 0.534516" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32656, 0.322184, 0.319374, 0.321153, 0.344842, 0.406215, 0.54632" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0378528, -0.0387068, -0.0427032, -0.0330854, -0.00461657, 0.0646713, 0.215737" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0297556, -0.0327419, -0.0316056, -0.024129, -0.000137034, 0.0626722, 0.202856" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.29617, 0.29324, 0.29491, 0.306579, 0.336514, 0.406835, 0.558764" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.307046, 0.305244, 0.305849, 0.312927, 0.337527, 0.399478, 0.539492" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0364005, -0.0412409, -0.0392935, -0.0276041, 0.00247418, 0.0725527, 0.224139" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.116209, 0.112387, 0.114299, 0.120692, 0.148873, 0.240867, 0.487082" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.456264, 0.457012, 0.467906, 0.505555, 0.588063, 0.761604, 1.10815" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.361902, 0.359201, 0.358846, 0.366413, 0.393692, 0.486894, 0.731816" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.198066, 0.198361, 0.209514, 0.247664, 0.329874, 0.50211, 0.846516" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493326, 0.488761, 0.489364, 0.495443, 0.521335, 0.587294, 0.744433" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.543883, 0.538521, 0.532824, 0.537688, 0.566871, 0.643983, 0.816945" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.61216, 0.609123, 0.607898, 0.613214, 0.640509, 0.706629, 0.86349" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.418023, 0.41272, 0.407701, 0.413328, 0.441871, 0.518742, 0.692763" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.230932, 0.225162, 0.226588, 0.251599, 0.334749, 0.549136, 1.02968" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.663124, 0.658206, 0.660576, 0.689897, 0.785391, 1.03481, 1.56815" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.674274, 0.66943, 0.669907, 0.695172, 0.777107, 0.991607, 1.47168" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219516, 0.215498, 0.217964, 0.246359, 0.341886, 0.591323, 1.12608" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0247601, -0.0271346, -0.0269739, -0.0197145, 0.00432097, 0.067102, 0.206917" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.293624, 0.290462, 0.292151, 0.302765, 0.333385, 0.404028, 0.555533" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.312289, 0.310088, 0.310752, 0.317979, 0.342066, 0.404654, 0.544163" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0393666, -0.0438526, -0.0415752, -0.0306459, -0.000604228, 0.0695658, 0.221143" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.678176; + rise_capacitance : 0.671268; + rise_capacitance_range (0.552726, 0.671268); + fall_capacitance : 0.678176; + fall_capacitance_range (0.570976, 0.678176); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.21783, -3.83081, -3.09833, -4.62891, -2.52716, -3.98223, -6.89239", \ + "-4.21861, -3.83159, -3.09911, -1.8004, -2.52794, -3.98301, -6.89317", \ + "-4.2255, -3.83848, -3.106, -5.80478, -2.53482, -3.9899, -6.90005", \ + "-7.07031, -7.87104, -7.13856, -4.60938, -6.56738, -8.02246, -9.80468", \ + "-8.41334, -8.02632, -7.29384, -5.99513, -6.72267, -8.17775, -11.0879", \ + "-9.06459, -8.67757, -7.94509, -6.64637, -7.37391, -8.82899, -11.7391", \ + "-11.7297, -7.34522, -6.61274, -8.14454, -6.04157, -7.49664, -10.4068" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.0618, 2.68289, 3.88044, 7.44781, 9.81567, 12.6789, 12.1272", \ + "1.65691, 2.27801, 3.47555, 5.69207, 9.41078, 12.274, 11.7223", \ + "0.858151, 1.47925, 2.67679, 4.89331, 8.61203, 11.4752, 10.9236", \ + "1.30469, -0.0742175, 1.12333, 4.60938, 7.05856, 9.92175, 10.4981", \ + "-3.62603, -3.00493, -1.80739, 4.40663, 4.12785, 6.99103, 10.4369", \ + "-8.78261, -8.16152, -6.96397, -0.749953, 2.96876, 5.83195, 9.27783", \ + "-16.2764, -15.6553, -14.4577, -11.0547, -8.5225, -1.66181, 1.78406" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.07525, -2.75332, -2.14382, -3.91113, -1.64895, -2.82222, -5.16875", \ + "-3.09784, -2.77591, -2.16641, -1.0849, -1.67154, -2.8448, -5.19134", \ + "-3.15095, -2.82901, -2.21952, -1.13801, -1.72464, -2.89791, -5.24445", \ + "-6.11816, -2.96694, -2.35745, -4.0625, -1.86257, -3.03584, -8.26171", \ + "-7.68913, -7.36719, -6.7577, -5.67619, -6.26282, -7.43609, -9.78263", \ + "-9.00217, -8.68024, -8.07074, -6.98923, -7.57587, -8.74914, -11.0957", \ + "-9.66095, -9.33902, -8.72952, -10.4785, -8.23465, -9.40792, -11.7545" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.85932, 4.88914, 6.86662, 7.68311, 11.171, 12.5273, 15.2399", \ + "3.80073, 4.83055, 6.80803, 10.4343, 11.1124, 12.4687, 15.1813", \ + "3.67137, 4.7012, 6.67868, 6.30742, 10.9831, 12.3394, 15.052", \ + "0.554199, 4.39378, 6.37126, 7, 10.6757, 12.032, 11.8652", \ + "-1.44318, -0.413354, 1.56412, 5.19036, 9.86602, 11.2223, 13.9349", \ + "-3.84169, -2.81186, -0.834382, 2.79186, 7.46751, 8.82382, 11.5364", \ + "-7.75812, -6.72829, -4.75081, -3.93555, 3.55108, 4.90739, 7.62" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.07525, -2.75332, -2.14382, -3.91113, -1.64895, -2.82222, -5.16875", \ + "-3.09784, -2.77591, -2.16641, -1.0849, -1.67154, -2.8448, -5.19134", \ + "-3.15095, -2.82901, -2.21952, -1.13801, -1.72464, -2.89791, -5.24445", \ + "-6.11816, -2.96694, -2.35745, -4.0625, -1.86257, -3.03584, -8.26171", \ + "-7.68913, -7.36719, -6.7577, -5.67619, -6.26282, -7.43609, -9.78263", \ + "-9.00217, -8.67757, -7.94509, -6.64637, -7.37391, -8.74914, -11.0957", \ + "-9.66095, -7.34522, -6.61274, -8.14454, -6.04157, -7.49664, -10.4068" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.85932, 4.88914, 6.86662, 7.68311, 11.171, 12.6789, 15.2399", \ + "3.80073, 4.83055, 6.80803, 10.4343, 11.1124, 12.4687, 15.1813", \ + "3.67137, 4.7012, 6.67868, 6.30742, 10.9831, 12.3394, 15.052", \ + "1.30469, 4.39378, 6.37126, 7, 10.6757, 12.032, 11.8652", \ + "-1.44318, -0.413354, 1.56412, 5.19036, 9.86602, 11.2223, 13.9349", \ + "-3.84169, -2.81186, -0.834382, 2.79186, 7.46751, 8.82382, 11.5364", \ + "-7.75812, -6.72829, -4.75081, -3.93555, 3.55108, 4.90739, 7.62" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5649, 10.1164, 9.26231, 8.79639, 8.08646, 8.80772, 14.2477", \ + "11.0169, 10.5684, 9.71429, 8.17781, 8.53843, 9.2597, 14.6997", \ + "15.8861, 11.4401, 10.586, 9.04953, 9.41016, 10.1314, 15.5714", \ + "14.5356, 13.0546, 12.2005, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.2165, 15.768, 14.914, 13.3775, 13.7381, 14.4594, 15.9019", \ + "19.4531, 19.0046, 18.1505, 16.614, 12.9771, 13.6984, 15.1409", \ + "22.0563, 21.6078, 20.7537, 16.3379, 15.5804, 16.3016, 17.7441" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1981, 10.3621, 8.7498, 2.85644, 0.746829, -1.82641, -2.61925", \ + "12.135, 11.299, 9.68669, 6.70075, 1.68372, -0.889516, -1.68237", \ + "13.9676, 13.1316, 11.5193, 8.53336, 3.51633, 0.943097, 0.150247", \ + "14.4707, 12.6347, 11.0224, 9.04857, 7.0169, 4.44366, 0.781245", \ + "19.8132, 18.9772, 17.3649, 14.3789, 9.3619, 6.78867, 5.99582", \ + "29.8637, 29.0277, 23.4178, 24.4294, 19.4124, 12.8416, 12.0488", \ + "43.424, 42.588, 40.9756, 35.9922, 32.9727, 26.4019, 21.6116" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.6165, 11.8779, 10.4709, 9.9375, 8.48368, 9.57603, 11.7607", \ + "12.5774, 11.8388, 10.4318, 7.89845, 8.44463, 9.53698, 11.7217", \ + "12.5177, 11.7791, 10.3721, 7.83876, 8.38493, 9.47729, 11.662", \ + "13.5034, 11.7333, 10.3263, 8.90625, 8.33914, 9.4315, 12.7344", \ + "16.6723, 15.9337, 14.5267, 11.9933, 12.5395, 9.63433, 11.819", \ + "18.2555, 17.5169, 16.1099, 13.5766, 14.1227, 15.2151, 17.3998", \ + "22.135, 21.3964, 19.9894, 18.5742, 18.0022, 15.0971, 17.2818" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.69287, 5.58046, 3.44467, 1.5293, -1.17775, -6.58933, -1.42251", \ + "7.25241, 6.14, 4.00421, 0.0888361, -0.618207, -6.02979, -4.86047", \ + "8.35631, 7.2439, 5.10812, 5.19024, 0.485697, -0.92839, -3.75656", \ + "11.5664, 9.391, 7.25522, 4.45312, 2.6328, 1.21871, -0.427194", \ + "14.5548, 13.4424, 11.3066, 11.3887, 6.68419, 1.27261, 2.44193", \ + "21.6863, 20.5739, 18.4381, 14.5228, 13.8157, 8.40414, 5.57596", \ + "32.0644, 30.952, 28.8162, 26.7547, 24.1938, 18.7822, 15.954" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.6165, 11.8779, 10.4709, 9.9375, 8.48368, 9.57603, 14.2477", \ + "12.5774, 11.8388, 10.4318, 8.17781, 8.53843, 9.53698, 14.6997", \ + "15.8861, 11.7791, 10.586, 9.04953, 9.41016, 10.1314, 15.5714", \ + "14.5356, 13.0546, 12.2005, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.6723, 15.9337, 14.914, 13.3775, 13.7381, 14.4594, 15.9019", \ + "19.4531, 19.0046, 18.1505, 16.614, 14.1227, 15.2151, 17.3998", \ + "22.135, 21.6078, 20.7537, 18.5742, 18.0022, 16.3016, 17.7441" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1981, 10.3621, 8.7498, 2.85644, 0.746829, -1.82641, -1.42251", \ + "12.135, 11.299, 9.68669, 6.70075, 1.68372, -0.889516, -1.68237", \ + "13.9676, 13.1316, 11.5193, 8.53336, 3.51633, 0.943097, 0.150247", \ + "14.4707, 12.6347, 11.0224, 9.04857, 7.0169, 4.44366, 0.781245", \ + "19.8132, 18.9772, 17.3649, 14.3789, 9.3619, 6.78867, 5.99582", \ + "29.8637, 29.0277, 23.4178, 24.4294, 19.4124, 12.8416, 12.0488", \ + "43.424, 42.588, 40.9756, 35.9922, 32.9727, 26.4019, 21.6116" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0610203, -0.0647223, -0.069206, -0.0714129, -0.0728356, -0.0726323, -0.0730715" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0756823, 0.0761835, 0.075902, 0.0769565, 0.0763596, 0.0765345, 0.0765128" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10141, 0.097973, 0.0968301, 0.0956854, 0.0949895, 0.0935169, 0.0929828" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0857772, -0.0866576, -0.087762, -0.0900458, -0.0896182, -0.0898294, -0.0899172" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0164595, -0.0164587, -0.0165036, -0.0166053, -0.0167056, -0.0166389, -0.0166347" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0164595, 0.0164587, 0.0165036, 0.0166053, 0.0167056, 0.0166389, 0.0166347" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.101611, 0.101555, 0.101388, 0.101987, 0.102607, 0.101613, 0.101441" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0984012, -0.0985225, -0.0985199, -0.0991464, -0.100239, -0.0989868, -0.0986097" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.062705, -0.0663537, -0.069131, -0.0710372, -0.0716921, -0.0726644, -0.0729235" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.07589, 0.0767174, 0.0765823, 0.0771345, 0.0769638, 0.0762732, 0.0767076" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10149, 0.0982095, 0.0970033, 0.0962889, 0.0943929, 0.0944124, 0.0937934" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0890985, -0.0905557, -0.090832, -0.0916623, -0.0911273, -0.0905304, -0.0906148" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0799636, -0.0800496, -0.0807281, -0.0806043, -0.0805761, -0.0809325, -0.0807218" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0799636, 0.0802755, 0.0807281, 0.0816128, 0.0815684, 0.0814042, 0.0812205" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0426384, 0.0424818, 0.042469, 0.0426216, 0.0420724, 0.0422845, 0.042128" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0426384, -0.0424818, -0.042469, -0.0426216, -0.0420724, -0.0422845, -0.0419798" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.17604, 0.172138, 0.172785, 0.188687, 0.242022, 0.377828, 0.677507" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.460864, 0.455632, 0.453773, 0.466158, 0.519032, 0.662669, 0.978034" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.44127, 0.438217, 0.438173, 0.454383, 0.508218, 0.642573, 0.942329" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193624, 0.188674, 0.186529, 0.198996, 0.251653, 0.395743, 0.711768" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0109802, -0.0110109, -0.0113285, -0.0111882, -0.0111604, -0.0111959, -0.0112284" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0119597, 0.0120009, 0.012128, 0.0120591, 0.0121073, 0.0120552, 0.0119833" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10373, 0.103636, 0.104599, 0.104281, 0.104034, 0.103745, 0.103201" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100646, -0.100943, -0.102007, -0.10191, -0.102401, -0.101404, -0.100896" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.171997, 0.169366, 0.171882, 0.193195, 0.255438, 0.405937, 0.730048" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.407912, 0.404121, 0.404475, 0.421327, 0.482678, 0.641012, 0.980524" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.397787, 0.395218, 0.397532, 0.419165, 0.481113, 0.632106, 0.954903" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179949, 0.175972, 0.176054, 0.192717, 0.25406, 0.412304, 0.752406" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0849213, -0.0843799, -0.0858846, -0.0861959, -0.0865115, -0.0853745, -0.0854722" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.087542, 0.0879454, 0.0884046, 0.0888672, 0.0892209, 0.088478, 0.0878952" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.036752, 0.0360468, 0.0363446, 0.0361857, 0.036087, 0.0356091, 0.0354596" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0358267, -0.03564, -0.0354081, -0.0354531, -0.0354028, -0.0349234, -0.0347117" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx2_ASAP7_75t_L) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1262.27; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1739.05; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1397.86; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1575.82; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1297.91; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1774.91; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1295.44; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1772.68; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1260.03; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1736.82; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1395.63; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1553.56; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1433.72; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1611.68; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1431.5; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1589.42; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1996.14; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1614.65; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2013.5; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1980.11; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2021.86; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1640.37; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2023.35; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1641.86; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1993.92; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1612.43; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1822.33; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1788.94; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2049.36; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2015.97; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1858.19; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1824.8; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1688.32; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.4197, 36.312, 41.2594, 49.6747, 64.2154, 91.2298, 144.21", \ + "34.5576, 37.4529, 42.3993, 50.8136, 65.3548, 92.3748, 145.352", \ + "36.3042, 39.1959, 44.1445, 52.562, 67.1013, 94.1213, 147.098", \ + "38.4486, 41.3271, 46.2837, 54.6954, 69.237, 96.2556, 149.231", \ + "41.2642, 44.1522, 49.1034, 57.521, 72.0577, 99.0896, 152.058", \ + "44.4474, 47.336, 52.2767, 60.6888, 75.2111, 102.242, 155.36", \ + "47.249, 50.129, 55.056, 63.4531, 77.9865, 105.184, 158.152" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "13.8121, 17.9299, 25.6946, 40.3548, 69.4024, 128.443, 248.89", \ + "13.8129, 17.9303, 25.6976, 40.3474, 69.4031, 128.454, 248.89", \ + "13.8164, 17.9329, 25.699, 40.3443, 69.4033, 128.454, 248.89", \ + "13.8651, 17.9328, 25.7145, 40.3567, 69.4225, 128.462, 248.893", \ + "13.8319, 17.9569, 25.7464, 40.3666, 69.4412, 128.459, 248.894", \ + "13.8519, 17.9553, 25.7104, 40.5188, 69.3977, 128.505, 249.023", \ + "13.9172, 18.0073, 25.7436, 40.374, 69.8792, 129.258, 249.858" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "32.0781, 35.2012, 40.4681, 48.8764, 62.7814, 87.6955, 135.466", \ + "33.2395, 36.359, 41.6257, 50.0348, 63.9473, 88.851, 136.623", \ + "35.0598, 38.1753, 43.4427, 51.8531, 65.767, 90.6712, 138.442", \ + "37.4101, 40.5346, 45.7983, 54.2059, 68.1047, 93.037, 140.792", \ + "40.2996, 43.4128, 48.676, 57.0677, 71.098, 96.0261, 143.792", \ + "43.758, 46.872, 52.1258, 60.5382, 74.4474, 99.3701, 147.16", \ + "46.8731, 49.979, 55.2443, 63.6659, 77.6107, 102.538, 150.317" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.4536, 18.2279, 25.024, 37.8343, 62.6946, 112.788, 215.429", \ + "14.4547, 18.2248, 25.0254, 37.8455, 62.7183, 112.773, 215.429", \ + "14.4482, 18.2248, 25.0245, 37.843, 62.7165, 112.782, 215.427", \ + "14.4781, 18.2542, 25.0643, 37.8834, 62.7328, 112.805, 215.432", \ + "14.4643, 18.2839, 25.0805, 37.8972, 62.7244, 112.815, 215.435", \ + "14.5147, 18.2927, 25.0919, 37.9352, 63.0429, 112.953, 215.461", \ + "14.8129, 18.5061, 25.2881, 38.0698, 63.0626, 112.924, 216.306" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.944684, 0.894388, 0.854529, 0.83158, 0.820461, 0.815225, 0.812705", \ + "0.943287, 0.892542, 0.852739, 0.830341, 0.81886, 0.813569, 0.81114", \ + "0.950763, 0.90007, 0.86051, 0.837971, 0.826544, 0.821258, 0.818907", \ + "0.97373, 0.921866, 0.882374, 0.860132, 0.844709, 0.839868, 0.837077", \ + "1.03925, 0.986771, 0.948212, 0.924581, 0.909897, 0.905234, 0.902364", \ + "1.18908, 1.1378, 1.09712, 1.08421, 1.06372, 1.06104, 1.05486", \ + "1.51277, 1.46085, 1.42055, 1.39612, 1.43, 1.484, 1.45617" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.03665, 0.976846, 0.918851, 0.884055, 0.865956, 0.855155, 0.848697", \ + "1.03517, 0.975362, 0.916992, 0.882251, 0.863999, 0.853419, 0.846906", \ + "1.04005, 0.980595, 0.922324, 0.887385, 0.869299, 0.858605, 0.852106", \ + "1.06263, 1.00415, 0.945647, 0.910007, 0.892258, 0.881501, 0.874793", \ + "1.12329, 1.06161, 1.00316, 0.968138, 0.949946, 0.939666, 0.933396", \ + "1.26919, 1.20999, 1.14898, 1.11415, 1.09417, 1.08365, 1.0774", \ + "1.59514, 1.53275, 1.47061, 1.4322, 1.41242, 1.40159, 1.39526" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.1086, 1.05828, 1.0184, 0.995412, 0.984296, 0.979021, 0.976553", \ + "1.10739, 1.05662, 1.01678, 0.994323, 0.982798, 0.97751, 0.975073", \ + "1.11392, 1.0632, 1.0236, 1.00102, 0.989518, 0.984205, 0.981842", \ + "1.13721, 1.0862, 1.04642, 1.02256, 1.01265, 1.00708, 1.00447", \ + "1.20233, 1.15016, 1.11096, 1.0881, 1.0775, 1.07161, 1.06921", \ + "1.35283, 1.30109, 1.25984, 1.23686, 1.22457, 1.21913, 1.21724", \ + "1.67643, 1.62463, 1.58359, 1.55867, 1.54689, 1.54131, 1.53904" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.17824, 1.1184, 1.06039, 1.02554, 1.00743, 0.996646, 0.990045", \ + "1.17663, 1.11689, 1.05856, 1.02384, 1.00564, 0.995029, 0.988453", \ + "1.18057, 1.12112, 1.06292, 1.02809, 1.01015, 0.999472, 0.992988", \ + "1.20217, 1.14215, 1.08261, 1.0474, 1.02774, 1.01643, 1.00968", \ + "1.26299, 1.20332, 1.14723, 1.11027, 1.08803, 1.07713, 1.06922", \ + "1.40967, 1.35055, 1.28944, 1.26182, 1.24938, 1.23989, 1.22498", \ + "1.73683, 1.67344, 1.61136, 1.57491, 1.56533, 1.56309, 1.58027" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.529251; + rise_capacitance : 0.527414; + rise_capacitance_range (0.427631, 0.527414); + fall_capacitance : 0.529251; + fall_capacitance_range (0.423583, 0.529251); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.9399, 25.9399, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.673613, 0.672483, 0.68115, 0.714101, 0.794392, 0.969037, 1.33545" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.560104, 0.558596, 0.567117, 0.600241, 0.680972, 0.854847, 1.22083" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320635, 0.318928, 0.325602, 0.349513, 0.408593, 0.552214, 0.865665" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483208, 0.482345, 0.490643, 0.519546, 0.590674, 0.749263, 1.08404" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465314, 0.463632, 0.469587, 0.493327, 0.552299, 0.695764, 1.00964" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.339066, 0.337965, 0.34656, 0.375354, 0.446239, 0.604897, 0.940002" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306249, 0.304711, 0.311103, 0.332974, 0.394504, 0.538921, 0.852364" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.498318, 0.497598, 0.504239, 0.536034, 0.605063, 0.763113, 1.09659" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465961, 0.464618, 0.470536, 0.492119, 0.553484, 0.69846, 1.0117" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338217, 0.3376, 0.344366, 0.375185, 0.444491, 0.602852, 0.936812" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.790549, 0.789591, 0.79607, 0.824228, 0.895564, 1.05199, 1.38578" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.577414, 0.576102, 0.582827, 0.611679, 0.682188, 0.839094, 1.17321" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.68055, 0.677958, 0.687372, 0.72, 0.799238, 0.974144, 1.33958" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.648304, 0.645436, 0.654665, 0.687508, 0.766814, 0.941254, 1.30549" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32686, 0.325124, 0.331866, 0.355768, 0.414839, 0.558408, 0.871963" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.477963, 0.478006, 0.485395, 0.514052, 0.5854, 0.743947, 1.07862" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467961, 0.466246, 0.472274, 0.495994, 0.555036, 0.698853, 1.01242" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.336845, 0.336534, 0.344289, 0.373069, 0.443901, 0.602447, 0.93731" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.678219, 0.677785, 0.68639, 0.718788, 0.797397, 0.972825, 1.33715" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.6765, 0.676281, 0.684694, 0.717018, 0.795924, 0.97083, 1.33407" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326793, 0.324918, 0.332391, 0.354239, 0.415394, 0.558437, 0.872007" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478373, 0.477454, 0.485747, 0.515461, 0.58509, 0.744273, 1.07894" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467336, 0.465824, 0.47267, 0.493752, 0.555485, 0.698747, 1.01217" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337632, 0.336561, 0.345078, 0.374654, 0.443855, 0.603326, 0.938099" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.67232, 0.670992, 0.679584, 0.712696, 0.792196, 0.968296, 1.33345" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.558729, 0.557079, 0.565589, 0.599017, 0.678563, 0.854134, 1.21889" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320585, 0.318744, 0.326142, 0.348061, 0.409031, 0.552232, 0.865741" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483311, 0.482337, 0.490672, 0.519316, 0.590022, 0.749275, 1.08406" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.464856, 0.463444, 0.470183, 0.491613, 0.553391, 0.696134, 1.00971" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.339026, 0.337965, 0.346546, 0.375336, 0.445375, 0.604974, 0.940015" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306452, 0.305131, 0.311364, 0.33329, 0.394671, 0.539081, 0.852221" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.496624, 0.496037, 0.503221, 0.53444, 0.602141, 0.761537, 1.09515" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.466799, 0.4656, 0.471421, 0.492896, 0.554752, 0.699097, 1.01214" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.336093, 0.335456, 0.342462, 0.373154, 0.441931, 0.600824, 0.934937" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.741446, 0.740401, 0.746482, 0.774491, 0.845386, 1.00309, 1.33635" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.568771, 0.567771, 0.574242, 0.602722, 0.673261, 0.830734, 1.16412" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311291, 0.31, 0.31617, 0.338, 0.399508, 0.543975, 0.857474" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493652, 0.492385, 0.499135, 0.530936, 0.599842, 0.758022, 1.09155" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47304, 0.471865, 0.477646, 0.499217, 0.560813, 0.705198, 1.01887" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.330878, 0.330112, 0.337223, 0.36801, 0.437415, 0.595719, 0.929806" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.786159, 0.78533, 0.791628, 0.819866, 0.890126, 1.04801, 1.3806" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564684, 0.563291, 0.569954, 0.598797, 0.668449, 0.826617, 1.15974" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.612182; + rise_capacitance : 0.606834; + rise_capacitance_range (0.505329, 0.606834); + fall_capacitance : 0.612182; + fall_capacitance_range (0.544525, 0.612182); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.78521, -5.44121, -4.7922, -6.38183, -4.48656, -6.15927, -9.50469", \ + "-5.95422, -5.61021, -4.96121, -3.81921, -4.65556, -6.32828, -9.6737", \ + "-6.29186, -5.94785, -5.29884, -4.15685, -4.9932, -6.66591, -10.0113", \ + "-9.69727, -6.62163, -5.97262, -7.5, -5.66698, -7.33969, -13.5449", \ + "-12.3047, -11.9607, -11.3117, -10.1697, -11.0061, -8.68127, -12.0267", \ + "-14.964, -14.6199, -13.9709, -12.8289, -13.6653, -11.3405, -14.6859", \ + "-16.1893, -15.8453, -15.1963, -16.8457, -14.8906, -16.5633, -19.9088" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.99066, 2.89121, 4.62549, 5.13428, 9.16324, 11.0026, 11.2395", \ + "1.83926, 2.73981, 4.47409, 7.67545, 9.01184, 10.8512, 11.0881", \ + "1.54539, 2.44593, 4.18021, 7.38157, 8.71796, 10.5574, 10.7942", \ + "-1.69922, 1.89389, 3.62817, 4.17969, 8.16592, 10.0053, 12.2422", \ + "0.0320989, 0.932641, 2.66692, 5.86828, 7.20467, 9.04406, 9.28094", \ + "-1.31908, -0.418538, 1.31574, 4.5171, 5.85349, 7.69289, 11.9273", \ + "-1.73615, -0.835606, 0.898676, 1.32812, 5.43643, 7.27582, 11.5102" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.78521, -5.44121, -4.7922, -6.38183, -4.48656, -6.15927, -9.50469", \ + "-5.95457, -5.61056, -4.96156, -3.81956, -4.65592, -6.32863, -9.67405", \ + "-6.29256, -5.94855, -5.29954, -4.15755, -4.9939, -6.66661, -10.012", \ + "-9.69727, -6.62163, -5.97262, -7.5, -5.66698, -7.33969, -13.5449", \ + "-12.2977, -11.9537, -11.3047, -10.1627, -7.00155, -8.67426, -12.0197", \ + "-14.9205, -14.5765, -13.9275, -12.7855, -13.6218, -11.2971, -14.6425", \ + "-19.9807, -19.6367, -18.9877, -16.4336, -14.6846, -16.3573, -19.7027" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.46137, 5.12407, 6.40008, 6.02295, 8.67592, 11.0293, 14.0622", \ + "0.226004, 4.88621, 6.16222, 8.51672, 8.43806, 10.7914, 13.8243", \ + "-0.233852, 0.428849, 5.70237, 8.05686, 7.9782, 10.3316, 13.3645", \ + "0.175781, -0.427388, 4.84613, 4.53125, 7.12196, 9.47532, 9.62891", \ + "-2.54865, 2.11155, 3.38756, 5.74206, 5.6634, 8.01675, 11.0497", \ + "-2.41891, -1.75621, -0.480195, 1.8743, 5.79314, 8.14649, 11.1794", \ + "-4.19068, 0.469521, -2.25196, 1.32812, 4.02137, 6.37473, 9.40766" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.78521, -5.44121, -4.7922, -6.38183, -4.48656, -6.15927, -9.50469", \ + "-5.95422, -5.61021, -4.96121, -3.81921, -4.65556, -6.32828, -9.6737", \ + "-6.29186, -5.94785, -5.29884, -4.15685, -4.9932, -6.66591, -10.0113", \ + "-9.69727, -6.62163, -5.97262, -7.5, -5.66698, -7.33969, -13.5449", \ + "-12.2977, -11.9537, -11.3047, -10.1627, -7.00155, -8.67426, -12.0197", \ + "-14.9205, -14.5765, -13.9275, -12.7855, -13.6218, -11.2971, -14.6425", \ + "-16.1893, -15.8453, -15.1963, -16.4336, -14.6846, -16.3573, -19.7027" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.46137, 5.12407, 6.40008, 6.02295, 9.16324, 11.0293, 14.0622", \ + "1.83926, 4.88621, 6.16222, 8.51672, 9.01184, 10.8512, 13.8243", \ + "1.54539, 2.44593, 5.70237, 8.05686, 8.71796, 10.5574, 13.3645", \ + "0.175781, 1.89389, 4.84613, 4.53125, 8.16592, 10.0053, 12.2422", \ + "0.0320989, 2.11155, 3.38756, 5.86828, 7.20467, 9.04406, 11.0497", \ + "-1.31908, -0.418538, 1.31574, 4.5171, 5.85349, 8.14649, 11.9273", \ + "-1.73615, 0.469521, 0.898676, 1.32812, 5.43643, 7.27582, 11.5102" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.4037, 14.9167, 13.9869, 9.39697, 12.4795, 12.8296, 17.5275", \ + "16.1875, 15.7005, 10.7732, 13.0882, 13.2633, 13.6134, 18.3113", \ + "17.7071, 17.22, 12.2928, 14.6077, 10.7853, 15.1329, 15.8333", \ + "17.627, 16.0694, 15.1396, 14.6094, 13.6321, 13.9823, 15.8203", \ + "21.4812, 20.9941, 20.0644, 18.3818, 14.5594, 14.9095, 19.6074", \ + "24.2576, 23.7706, 22.8408, 21.1583, 21.3333, 21.6835, 22.3838", \ + "33.4982, 33.0112, 28.0839, 27.5195, 26.5765, 26.9266, 27.627" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.9896, 8.21755, 6.72224, 5.03662, 3.25228, 0.187168, -0.827818", \ + "13.6673, 8.89532, 7.40001, 4.62431, 3.93005, 0.864941, -0.150045", \ + "14.9849, 10.2129, 8.71759, 5.94188, 5.24763, 2.18252, 1.16753", \ + "14.4707, 12.6962, 11.2009, 9.56689, 7.73091, 0.668301, 0.781245", \ + "17.8298, 17.0553, 15.5599, 12.7842, 8.09248, 5.02737, 4.01238", \ + "24.1179, 23.3434, 17.8506, 15.0749, 14.3806, 7.31801, 6.30303", \ + "30.9718, 30.1972, 28.7019, 23.0469, 21.2345, 14.1719, 13.1569" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.7109, 12.8594, 11.2359, 9.39697, 12.8087, 13.8174, 15.8347", \ + "14.1302, 13.2787, 11.6551, 12.7237, 13.228, 14.2366, 16.2539", \ + "14.956, 14.1044, 12.4809, 13.5494, 14.0538, 15.0624, 17.0797", \ + "17.627, 15.7049, 14.0813, 12.3047, 11.6567, 12.6653, 15.8203", \ + "19.5527, 18.7012, 17.0777, 18.1462, 14.653, 15.6617, 17.679", \ + "24.7275, 23.876, 22.2525, 23.321, 19.8278, 20.8365, 22.8538", \ + "31.8054, 30.9539, 29.3303, 27.5195, 26.9057, 23.9168, 25.9341" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5224, 8.77977, 3.34785, 1.7334, 0.162362, -3.10879, -4.16617", \ + "10.2587, 9.51611, 4.08419, 5.41621, 0.898703, -2.37245, -3.42983", \ + "11.6928, 10.9502, 5.51825, 6.85027, 2.33276, -0.938388, -1.99577", \ + "11.4404, 9.66631, 8.23189, 6.67969, 5.0464, 1.77524, -1.40303", \ + "15.2182, 14.4756, 13.0412, 10.3757, 5.8582, 2.58705, 1.52966", \ + "22.365, 21.6224, 16.1905, 17.5225, 13.005, 9.73383, 4.67894", \ + "26.7712, 26.0286, 24.5942, 23.0469, 21.4087, 14.1401, 13.0827" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.4037, 14.9167, 13.9869, 9.39697, 12.8087, 13.8174, 17.5275", \ + "16.1875, 15.7005, 11.6551, 13.0882, 13.2633, 14.2366, 18.3113", \ + "17.7071, 17.22, 12.4809, 14.6077, 14.0538, 15.1329, 17.0797", \ + "17.627, 16.0694, 15.1396, 14.6094, 13.6321, 13.9823, 15.8203", \ + "21.4812, 20.9941, 20.0644, 18.3818, 14.653, 15.6617, 19.6074", \ + "24.7275, 23.876, 22.8408, 23.321, 21.3333, 21.6835, 22.8538", \ + "33.4982, 33.0112, 29.3303, 27.5195, 26.9057, 26.9266, 27.627" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.9896, 8.77977, 6.72224, 5.03662, 3.25228, 0.187168, -0.827818", \ + "13.6673, 9.51611, 7.40001, 5.41621, 3.93005, 0.864941, -0.150045", \ + "14.9849, 10.9502, 8.71759, 6.85027, 5.24763, 2.18252, 1.16753", \ + "14.4707, 12.6962, 11.2009, 9.56689, 7.73091, 1.77524, 0.781245", \ + "17.8298, 17.0553, 15.5599, 12.7842, 8.09248, 5.02737, 4.01238", \ + "24.1179, 23.3434, 17.8506, 17.5225, 14.3806, 9.73383, 6.30303", \ + "30.9718, 30.1972, 28.7019, 23.0469, 21.4087, 14.1719, 13.1569" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625779, -0.0621619, -0.0625788, -0.0621992, -0.0621819, -0.0623443, -0.0619321" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625779, 0.0630888, 0.0631442, 0.0631361, 0.063697, 0.0633554, 0.063094" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0897657, 0.0902987, 0.0901109, 0.0902636, 0.0901293, 0.0905289, 0.0902216" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0883578, -0.0891993, -0.0890639, -0.089235, -0.0901293, -0.0893687, -0.0889419" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625119, -0.062552, -0.0628127, -0.0629483, -0.0624064, -0.0624353, -0.0621921" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0628992, 0.0635077, 0.0629882, 0.0639143, 0.0635937, 0.0633303, 0.0630781" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0497412, 0.0496925, 0.0497906, 0.0498679, 0.0496816, 0.0496044, 0.049447" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0497412, -0.0496925, -0.0497906, -0.0498679, -0.0496816, -0.0496044, -0.049447" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0470794, -0.050679, -0.0545128, -0.0563834, -0.0587352, -0.0591069, -0.059682" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.062649, 0.0629314, 0.0629949, 0.0627026, 0.063535, 0.0630284, 0.0628428" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0910811, 0.0903321, 0.0897246, 0.0888184, 0.0894772, 0.088274, 0.0878328" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0799648, -0.0810869, -0.0833077, -0.0840342, -0.0858645, -0.0854267, -0.0853398" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0446748, -0.048635, -0.052691, -0.0556691, -0.0579498, -0.0585023, -0.0591716" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0618964, 0.0623533, 0.0622289, 0.0631924, 0.0628188, 0.0621345, 0.0621691" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0920353, 0.0903995, 0.0907415, 0.0904638, 0.0901675, 0.088972, 0.0885841" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0861832, -0.0856347, -0.0866354, -0.0874703, -0.0872398, -0.0863667, -0.0863197" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0616438, -0.0624247, -0.0619319, -0.0629083, -0.0628256, -0.0623725, -0.0619893" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0624907, 0.0636933, 0.0638136, 0.0633689, 0.0638295, 0.0634716, 0.0631777" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0897559, 0.0903155, 0.0901848, 0.0911789, 0.0912772, 0.0904658, 0.0902703" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0881558, -0.0894151, -0.0896896, -0.0889295, -0.0900642, -0.0891698, -0.0888377" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0653712, -0.0660281, -0.0657528, -0.0664963, -0.0665423, -0.0656466, -0.0657058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0694922, 0.0688619, 0.069282, 0.0695623, 0.0700096, 0.0691375, 0.0685457" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0445002, 0.0448473, 0.0447191, 0.0449091, 0.0448226, 0.0441856, 0.0440107" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0437939, -0.0430007, -0.0431165, -0.0432855, -0.043576, -0.0431276, -0.0429808" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192188, 0.189373, 0.190832, 0.206483, 0.263856, 0.414305, 0.751818" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539363, 0.534598, 0.531939, 0.5402, 0.587433, 0.73741, 1.08726" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.530882, 0.528654, 0.529135, 0.544767, 0.602546, 0.752785, 1.08744" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193867, 0.188918, 0.185961, 0.194538, 0.242302, 0.392096, 0.742798" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.195087, 0.192027, 0.193867, 0.211892, 0.272073, 0.42541, 0.765662" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517734, 0.51309, 0.512229, 0.521959, 0.574361, 0.727203, 1.08403" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514563, 0.511971, 0.512523, 0.530376, 0.591469, 0.744839, 1.08193" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.18953, 0.184559, 0.183795, 0.193871, 0.245908, 0.39911, 0.756119" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.20075; + rise_capacitance : 1.19578; + rise_capacitance_range (0.996027, 1.19578); + fall_capacitance : 1.20075; + fall_capacitance_range (0.969693, 1.20075); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.79559, -5.07514, -3.68557, 0.471815, 3.21466, 6.01006, 6.1783", \ + "-6.91694, -6.19649, -4.80692, -2.2331, 2.0933, 4.8887, 5.05694", \ + "-9.06809, -8.34764, -6.95807, -4.38425, -0.0578418, 2.73756, 2.9058", \ + "-11.6992, -8.2862, -6.89664, -6.95312, -3.99391, -1.19851, 0.107427", \ + "-13.5517, -12.8313, -11.4417, -8.86789, -4.54148, -1.74608, -1.57784", \ + "-14.6469, -13.9264, -12.5369, -9.96303, -5.63663, -6.83873, -2.67299", \ + "-16.8372, -16.1167, -14.7271, -10.9277, -7.82692, -5.03152, -4.86328" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-13.2999, -13.0565, -12.5971, -14.4995, -12.3517, -13.4803, -19.7351", \ + "-14.2471, -14.0037, -13.5443, -12.7346, -13.2989, -14.4276, -20.6823", \ + "-16.0978, -15.8544, -15.395, -14.5853, -15.1497, -20.2758, -22.533", \ + "-22.356, -19.381, -18.9215, -20.7812, -18.6762, -19.8048, -24.9219", \ + "-25.9779, -25.7345, -25.2751, -24.4654, -25.0297, -26.1583, -28.4156", \ + "-35.8868, -31.6459, -31.1865, -34.3743, -34.9386, -36.0673, -38.3245", \ + "-48.5095, -44.2687, -43.8092, -45.791, -47.5614, -48.69, -50.9473" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.32721, -1.09351, -0.652822, -2.64893, -4.46237, -5.63563, -7.98217", \ + "-1.56108, -1.32739, -0.886699, -4.10961, -4.69624, -5.86951, -8.21605", \ + "-1.99463, -1.76094, -1.32025, -0.545658, -1.13229, -2.30556, -8.6496", \ + "-5.49561, -2.49122, -2.05053, -3.98437, -1.86257, -3.03584, -8.26171", \ + "-5.4466, -1.21541, -0.774719, -0.00012891, -0.586763, -1.76003, -8.10407", \ + "-2.89499, -2.66129, -2.2206, -1.44601, -2.03265, -3.20591, -5.55245", \ + "2.20825, 2.44194, 2.88263, 4.86328, 3.07059, 1.89732, -0.449218" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.92133, 6.67169, 8.11663, 8.07129, 11.227, 13.3971, 16.882", \ + "5.74082, 6.49118, 7.93612, 10.6029, 11.0465, 13.2166, 16.7015", \ + "1.38303, 6.13089, 7.57583, 6.24509, 10.6862, 12.8563, 12.3437", \ + "1.95068, 1.41564, 6.85808, 6.875, 9.96841, 12.1385, 12.7539", \ + "-0.758838, 3.98902, 5.43396, 8.10072, 8.54429, 10.7144, 14.1994", \ + "-3.56159, -2.81123, 2.63121, 5.29797, 5.74154, 11.9092, 11.3966", \ + "-8.98511, -8.23475, -6.78981, -2.67188, 0.318016, 6.48565, 9.97059" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.32721, -1.09351, -0.652822, 0.471815, 3.21466, 6.01006, 6.1783", \ + "-1.56108, -1.32739, -0.886699, -2.2331, 2.0933, 4.8887, 5.05694", \ + "-1.99463, -1.76094, -1.32025, -0.545658, -0.0578418, 2.73756, 2.9058", \ + "-5.49561, -2.49122, -2.05053, -3.98437, -1.86257, -1.19851, 0.107427", \ + "-5.4466, -1.21541, -0.774719, -0.00012891, -0.586763, -1.74608, -1.57784", \ + "-2.89499, -2.66129, -2.2206, -1.44601, -2.03265, -3.20591, -2.67299", \ + "2.20825, 2.44194, 2.88263, 4.86328, 3.07059, 1.89732, -0.449218" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.92133, 6.67169, 8.11663, 8.07129, 11.227, 13.3971, 16.882", \ + "5.74082, 6.49118, 7.93612, 10.6029, 11.0465, 13.2166, 16.7015", \ + "1.38303, 6.13089, 7.57583, 6.24509, 10.6862, 12.8563, 12.3437", \ + "1.95068, 1.41564, 6.85808, 6.875, 9.96841, 12.1385, 12.7539", \ + "-0.758838, 3.98902, 5.43396, 8.10072, 8.54429, 10.7144, 14.1994", \ + "-3.56159, -2.81123, 2.63121, 5.29797, 5.74154, 11.9092, 11.3966", \ + "-8.98511, -8.23475, -6.78981, -2.67188, 0.318016, 6.48565, 9.97059" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.8317, 19.0494, 13.5442, 11.9375, 10.0946, 7.73891, 3.71435", \ + "20.5989, 19.8166, 18.3089, 15.522, 10.8618, 8.50611, 4.48156", \ + "22.0811, 21.2987, 19.7911, 17.0042, 12.3439, 9.98824, 5.96368", \ + "21.9092, 24.0538, 18.5486, 16.9141, 15.099, 12.7433, 10.7187", \ + "25.512, 24.7296, 23.222, 20.4351, 15.7748, 13.4192, 13.3921", \ + "28.8155, 28.0331, 26.5255, 23.7386, 19.0783, 16.7227, 16.6956", \ + "30.1238, 29.3414, 27.8338, 27.0469, 20.3866, 18.031, 18.0039" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8876, 23.525, 18.8389, 18.7183, 18.0879, 19.0463, 24.9606", \ + "24.9968, 24.6342, 19.9481, 22.7154, 19.1971, 20.1555, 26.0698", \ + "27.1592, 26.7965, 22.1104, 24.8777, 21.3594, 22.3178, 28.2322", \ + "28.3325, 26.8993, 26.2107, 26.1328, 25.4597, 26.4181, 29.4727", \ + "34.5651, 34.2024, 33.5138, 32.2836, 32.7628, 33.7212, 35.6381", \ + "41.584, 41.2213, 40.5327, 39.3025, 39.7817, 40.7401, 42.657", \ + "57.2523, 56.8897, 52.2036, 52.0639, 51.4526, 52.411, 54.3278" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.60449, 9.18869, 8.39918, 8.03955, 7.5347, 8.62706, 10.8118", \ + "9.73674, 9.32094, 8.53143, 7.12078, 7.66695, 8.75931, 10.944", \ + "9.98392, 9.56811, 8.7786, 7.36795, 7.91412, 9.00648, 11.1912", \ + "11.4404, 9.99313, 9.20362, 8.90625, 8.33914, 9.4315, 12.7344", \ + "13.87, 13.4542, 8.66721, 7.25656, 7.80273, 8.89509, 11.0798", \ + "12.7972, 12.3814, 11.5919, 10.1812, 6.72991, 7.82227, 10.007", \ + "10.6516, 10.2358, 9.44624, 5.15626, 4.58427, 5.67662, 7.86133" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.71967, 5.04698, 3.74042, 2.37305, -2.87255, -2.81289, -4.30255", \ + "10.5652, 5.89253, 4.58596, 2.14803, -2.02701, -1.96734, -3.45701", \ + "12.2247, 7.552, 6.24543, 3.8075, -0.367541, -0.307872, -1.79754", \ + "12.4707, 10.7445, 9.43793, 9, 2.82496, -1.11288, -1.47461", \ + "17.2989, 16.6237, 15.3171, 12.8792, 8.70415, 4.76632, 3.27665", \ + "27.0341, 26.3589, 25.0524, 18.6169, 14.4419, 10.5041, 9.01438", \ + "42.4094, 41.7342, 36.4301, 35.9922, 29.8171, 25.8793, 20.3921" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.8317, 19.0494, 13.5442, 11.9375, 10.0946, 8.62706, 10.8118", \ + "20.5989, 19.8166, 18.3089, 15.522, 10.8618, 8.75931, 10.944", \ + "22.0811, 21.2987, 19.7911, 17.0042, 12.3439, 9.98824, 11.1912", \ + "21.9092, 24.0538, 18.5486, 16.9141, 15.099, 12.7433, 12.7344", \ + "25.512, 24.7296, 23.222, 20.4351, 15.7748, 13.4192, 13.3921", \ + "28.8155, 28.0331, 26.5255, 23.7386, 19.0783, 16.7227, 16.6956", \ + "30.1238, 29.3414, 27.8338, 27.0469, 20.3866, 18.031, 18.0039" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8876, 23.525, 18.8389, 18.7183, 18.0879, 19.0463, 24.9606", \ + "24.9968, 24.6342, 19.9481, 22.7154, 19.1971, 20.1555, 26.0698", \ + "27.1592, 26.7965, 22.1104, 24.8777, 21.3594, 22.3178, 28.2322", \ + "28.3325, 26.8993, 26.2107, 26.1328, 25.4597, 26.4181, 29.4727", \ + "34.5651, 34.2024, 33.5138, 32.2836, 32.7628, 33.7212, 35.6381", \ + "41.584, 41.2213, 40.5327, 39.3025, 39.7817, 40.7401, 42.657", \ + "57.2523, 56.8897, 52.2036, 52.0639, 51.4526, 52.411, 54.3278" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0116256, 0.00763841, 0.00402717, 0.0070031, 0.0268342, 0.0893674, 0.2293" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.303254, 0.298317, 0.291829, 0.293889, 0.317741, 0.383412, 0.531962" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319158, 0.317003, 0.314255, 0.31635, 0.33893, 0.401137, 0.541562" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0337895, -0.0370419, -0.0405454, -0.030586, -0.00186982, 0.0672218, 0.218469" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0300058, -0.0318597, -0.0309645, -0.0240899, 0.000737371, 0.0627722, 0.203515" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.293101, 0.290298, 0.291707, 0.303695, 0.333678, 0.40434, 0.556059" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.302608, 0.30023, 0.299306, 0.307513, 0.332535, 0.394463, 0.534591" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0346692, -0.0373274, -0.0371451, -0.0247969, 0.00479903, 0.0754165, 0.226896" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.117577, 0.114005, 0.115619, 0.121927, 0.150034, 0.242895, 0.489667" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.451622, 0.452128, 0.462662, 0.499995, 0.581588, 0.757873, 1.10245" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.358063, 0.355983, 0.355214, 0.362917, 0.392295, 0.483495, 0.729464" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.198123, 0.198406, 0.209229, 0.246904, 0.328489, 0.503436, 0.845741" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49445, 0.49077, 0.490096, 0.496684, 0.523055, 0.589208, 0.746004" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539897, 0.5347, 0.528114, 0.532934, 0.562517, 0.639949, 0.813214" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.608285, 0.605646, 0.603988, 0.609639, 0.636641, 0.703622, 0.860277" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.419228, 0.415298, 0.407668, 0.414198, 0.441634, 0.519596, 0.693824" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.232302, 0.226646, 0.228098, 0.252734, 0.336252, 0.551108, 1.03203" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.658013, 0.653014, 0.655421, 0.68406, 0.781751, 1.02955, 1.56413" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671221, 0.665501, 0.666018, 0.690635, 0.776156, 0.989027, 1.46896" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219967, 0.215129, 0.218858, 0.24628, 0.342727, 0.591614, 1.12762" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0232566, -0.0262587, -0.025142, -0.0181486, 0.00608964, 0.0686671, 0.209081" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.289245, 0.286264, 0.287514, 0.298582, 0.328803, 0.399329, 0.551371" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.307496, 0.306386, 0.306913, 0.314155, 0.337773, 0.400724, 0.540651" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0389791, -0.0411324, -0.0408504, -0.0295505, 0.000734195, 0.0703523, 0.222528" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654872; + rise_capacitance : 0.647425; + rise_capacitance_range (0.530799, 0.647425); + fall_capacitance : 0.654872; + fall_capacitance_range (0.549417, 0.654872); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.43072, -2.13187, -1.56813, -3.32764, -5.30709, -6.77333, -9.7058", \ + "-2.61414, -2.3153, -1.75155, -4.75739, -5.49051, -6.95675, -9.88923", \ + "-6.97032, -6.67147, -6.10773, -5.11607, -5.84919, -7.31543, -10.2479", \ + "-6.40869, -7.35618, -6.79244, -4.49219, -6.5339, -8.00014, -9.80468", \ + "-8.89384, -8.59499, -8.03125, -7.03959, -7.77271, -5.24144, -8.17392", \ + "-10.849, -10.5502, -9.98643, -8.99477, -5.73039, -7.19663, -10.1291", \ + "-8.67218, -8.37333, -7.80959, -9.60938, -7.55105, -9.01729, -11.9498" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.04834, 2.77847, 4.18539, 8.07129, 11.1335, 13.5854, 13.324", \ + "1.45519, 2.18532, 3.59225, 6.19274, 10.5403, 12.9923, 12.7308", \ + "0.297212, 1.02734, 2.43426, 5.03476, 9.38236, 11.8343, 11.5729", \ + "-0.620118, 2.82211, 4.22903, 4.17969, 7.17963, 9.63157, 10.4981", \ + "-1.86054, -1.13041, 0.276514, 2.87701, 7.22461, 9.67655, 9.4151", \ + "-7.95382, -7.2237, -5.81677, -3.21628, 1.13132, 3.58326, 7.31931", \ + "-16.8909, -12.1632, -10.7563, -10.9277, -7.80573, -1.35629, -1.61773" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.32721, -1.09351, -0.652822, -2.64893, -0.464866, -1.63813, -7.98217", \ + "-1.53647, -1.30278, -0.862086, -4.085, -0.674129, -1.8474, -8.19144", \ + "-5.94291, -1.71171, -1.27102, -4.49393, -1.08307, -2.25633, -8.60037", \ + "-5.49561, -2.49122, -2.05053, -3.98437, -1.86257, -3.03584, -8.26171", \ + "-8.12797, -7.89427, -3.45608, -2.68149, -3.26812, -4.44139, -6.78793", \ + "-10.3252, -10.0915, -5.65335, -4.87876, -5.46539, -6.63866, -8.9852", \ + "-8.26691, -8.03322, -7.59253, -9.60938, -7.40457, -8.57784, -14.9219" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.33686, 5.41587, 7.48767, 8.55469, 11.9846, 13.381, 16.174", \ + "4.13018, 5.2092, 7.281, 7.08214, 11.7779, 13.1744, 15.9673", \ + "3.71929, 4.79831, 6.87011, 6.67126, 11.367, 12.7635, 15.5565", \ + "0.175781, 3.98643, 6.05823, 7.1875, 10.5551, 11.9516, 11.8652", \ + "1.32319, 2.40221, 4.47401, 8.27265, 8.97089, 10.3674, 13.1604", \ + "-1.6871, -0.608079, 1.46372, 5.26237, 5.96061, 11.3546, 14.1476", \ + "-7.07502, -5.996, -3.9242, -2.67188, 4.57019, 5.96668, 8.75965" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.32721, -1.09351, -0.652822, -2.64893, -0.464866, -1.63813, -7.98217", \ + "-1.53647, -1.30278, -0.862086, -4.085, -0.674129, -1.8474, -8.19144", \ + "-5.94291, -1.71171, -1.27102, -4.49393, -1.08307, -2.25633, -8.60037", \ + "-5.49561, -2.49122, -2.05053, -3.98437, -1.86257, -3.03584, -8.26171", \ + "-8.12797, -7.89427, -3.45608, -2.68149, -3.26812, -4.44139, -6.78793", \ + "-10.3252, -10.0915, -5.65335, -4.87876, -5.46539, -6.63866, -8.9852", \ + "-8.26691, -8.03322, -7.59253, -9.60938, -7.40457, -8.57784, -11.9498" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.33686, 5.41587, 7.48767, 8.55469, 11.9846, 13.5854, 16.174", \ + "4.13018, 5.2092, 7.281, 7.08214, 11.7779, 13.1744, 15.9673", \ + "3.71929, 4.79831, 6.87011, 6.67126, 11.367, 12.7635, 15.5565", \ + "0.175781, 3.98643, 6.05823, 7.1875, 10.5551, 11.9516, 11.8652", \ + "1.32319, 2.40221, 4.47401, 8.27265, 8.97089, 10.3674, 13.1604", \ + "-1.6871, -0.608079, 1.46372, 5.26237, 5.96061, 11.3546, 14.1476", \ + "-7.07502, -5.996, -3.9242, -2.67188, 4.57019, 5.96668, 8.75965" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.6345, 10.1751, 9.3002, 8.79639, 8.08646, 8.80772, 14.2477", \ + "11.0827, 10.6233, 9.74838, 8.174, 8.53463, 9.25589, 14.6959", \ + "15.9481, 11.4912, 10.6163, 9.04192, 9.40255, 10.1238, 15.5638", \ + "14.6748, 13.1134, 12.2384, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.3622, 15.9028, 15.0279, 13.4535, 13.8142, 14.5354, 15.978", \ + "20.122, 19.6626, 18.7877, 17.2133, 13.5764, 14.2977, 15.7402", \ + "24.3623, 23.9029, 19.0304, 18.5742, 17.8167, 14.5404, 19.9805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.4403, 7.82051, 6.61968, 6.37305, 0.499855, -0.768714, -1.37959", \ + "9.18689, 8.5671, 7.36627, 5.11963, 1.24644, -0.0221273, -0.633002", \ + "14.6496, 10.0323, 8.83148, 6.58485, 2.71166, 1.44309, 0.832213", \ + "14.4707, 12.8509, 11.6501, 10.8713, 5.53026, 4.26169, 0.781245", \ + "18.6606, 18.0408, 16.84, 14.5933, 10.7201, 5.45408, 4.8432", \ + "27.2511, 26.6313, 25.4305, 23.1839, 19.3107, 14.0446, 9.43622", \ + "41.2726, 40.6528, 39.452, 34.4235, 33.3321, 28.0661, 23.4577" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.7842, 11.0456, 9.63857, 8.27291, 7.65138, 8.74374, 10.9284", \ + "11.8753, 11.1368, 9.72975, 7.19638, 7.74256, 8.83491, 11.0196", \ + "12.0648, 11.3262, 9.91918, 11.3833, 7.93199, 9.02434, 11.209", \ + "13.5034, 11.7333, 10.3263, 8.90625, 8.33914, 9.4315, 12.7344", \ + "17.397, 16.6584, 15.2514, 12.718, 13.2642, 10.359, 12.5437", \ + "19.705, 18.9664, 17.5594, 15.026, 15.5722, 12.667, 14.8517", \ + "26.1325, 21.3964, 19.9894, 18.5742, 18.0022, 15.0971, 17.2818" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.69287, 5.58309, 3.44994, 1.5293, -2.93183, -3.25475, -6.9668", \ + "7.24957, 6.13978, 4.00663, 0.0859918, -2.37513, -2.69805, -6.41011", \ + "8.35063, 7.24084, 5.10769, 5.18455, -1.27408, -1.59699, -5.30905", \ + "11.5664, 9.39363, 7.26048, 4.45312, 0.878717, 0.555801, -1.15626", \ + "14.6117, 13.5019, 11.3688, 11.4456, 4.987, 4.66408, 0.952024", \ + "22.039, 20.9293, 18.7961, 14.8755, 12.4143, 8.09392, 4.38186", \ + "33.7368, 32.627, 30.4939, 27.7487, 24.1121, 19.7917, 16.0797" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.7842, 11.0456, 9.63857, 8.79639, 8.08646, 8.80772, 14.2477", \ + "11.8753, 11.1368, 9.74838, 8.174, 8.53463, 9.25589, 14.6959", \ + "15.9481, 11.4912, 10.6163, 11.3833, 9.40255, 10.1238, 15.5638", \ + "14.6748, 13.1134, 12.2384, 11.7969, 11.0247, 11.746, 14.3164", \ + "17.397, 16.6584, 15.2514, 13.4535, 13.8142, 14.5354, 15.978", \ + "20.122, 19.6626, 18.7877, 17.2133, 15.5722, 14.2977, 15.7402", \ + "26.1325, 23.9029, 19.9894, 18.5742, 18.0022, 15.0971, 19.9805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.4403, 7.82051, 6.61968, 6.37305, 0.499855, -0.768714, -1.37959", \ + "9.18689, 8.5671, 7.36627, 5.11963, 1.24644, -0.0221273, -0.633002", \ + "14.6496, 10.0323, 8.83148, 6.58485, 2.71166, 1.44309, 0.832213", \ + "14.4707, 12.8509, 11.6501, 10.8713, 5.53026, 4.26169, 0.781245", \ + "18.6606, 18.0408, 16.84, 14.5933, 10.7201, 5.45408, 4.8432", \ + "27.2511, 26.6313, 25.4305, 23.1839, 19.3107, 14.0446, 9.43622", \ + "41.2726, 40.6528, 39.452, 34.4235, 33.3321, 28.0661, 23.4577" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0604509, -0.0645277, -0.0688338, -0.0710603, -0.0724646, -0.0722894, -0.0727176" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0754315, 0.0757685, 0.0758258, 0.076581, 0.0761612, 0.076453, 0.0762688" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.095393, 0.0916725, 0.0903276, 0.0891896, 0.0884485, 0.0870549, 0.0865159" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0774726, -0.0797963, -0.0815927, -0.0830485, -0.0830642, -0.0834128, -0.0833367" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0134102, -0.0134531, -0.0135154, -0.0135353, -0.013623, -0.013601, -0.01357" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0134102, 0.0134531, 0.0135154, 0.0135353, 0.013623, 0.013601, 0.01357" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0979765, 0.0985011, 0.0981398, 0.0988445, 0.0990741, 0.0982966, 0.0979398" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0942258, -0.095452, -0.0954987, -0.0953238, -0.0965773, -0.0955858, -0.094986" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.062418, -0.0657449, -0.0687145, -0.0705272, -0.0713807, -0.072299, -0.0725142" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.075755, 0.0758467, 0.0767382, 0.0767054, 0.0767648, 0.0765934, 0.0764661" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0952041, 0.091218, 0.0904934, 0.0891466, 0.0881532, 0.0879448, 0.0873047" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0837469, -0.0835619, -0.0848717, -0.0848803, -0.0845565, -0.0843339, -0.0840358" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0768701, -0.0772475, -0.07754, -0.0771974, -0.0776592, -0.0776116, -0.0774046" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0769547, 0.0772475, 0.07754, 0.0783921, 0.0783506, 0.0781988, 0.0780209" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0391466, 0.0392697, 0.0389054, 0.0389553, 0.0389514, 0.0387623, 0.0386203" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0391466, -0.0392697, -0.0389054, -0.0389553, -0.0389514, -0.0387623, -0.03836" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.178983, 0.175117, 0.17577, 0.192007, 0.244989, 0.381324, 0.680561" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.45744, 0.452888, 0.451022, 0.463389, 0.515984, 0.659764, 0.975607" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437297, 0.434375, 0.434472, 0.451113, 0.50435, 0.640516, 0.938589" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197173, 0.192605, 0.190553, 0.202883, 0.25483, 0.399504, 0.715843" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00515713, -0.00528659, -0.00523583, -0.00535749, -0.00524633, -0.00529939, -0.00532713" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00616884, 0.00647021, 0.00636551, 0.00628541, 0.00629482, 0.00626204, 0.00620017" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102763, 0.103068, 0.103131, 0.103958, 0.103052, 0.10291, 0.102353" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0995659, -0.100682, -0.101027, -0.101027, -0.101455, -0.100423, -0.0999831" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.175124, 0.172259, 0.174851, 0.196104, 0.258371, 0.408828, 0.732225" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.40591, 0.401633, 0.401662, 0.418779, 0.479671, 0.638044, 0.977529" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394445, 0.391502, 0.393866, 0.415285, 0.478106, 0.627957, 0.950129" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.184204, 0.179866, 0.180044, 0.196846, 0.257846, 0.416158, 0.756216" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0784725, -0.0789699, -0.0798475, -0.0802266, -0.0804191, -0.0793411, -0.079433" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0817032, 0.0818284, 0.0825393, 0.0829336, 0.0832574, 0.0825454, 0.0819752" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0355324, 0.0353158, 0.0354704, 0.0354043, 0.0352528, 0.034805, 0.0346688" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0349474, -0.0340925, -0.0344947, -0.0345117, -0.0344678, -0.0340399, -0.033811" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx3_ASAP7_75t_L) { + area : 0.39366; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1482.94; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2067.31; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1618.53; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1904.08; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1518.59; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2103.18; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1516.12; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2100.95; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1480.71; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2065.08; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1616.31; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1881.82; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1654.4; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1939.94; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1652.17; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1917.69; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2324.43; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1835.33; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2341.77; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2200.81; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2350.15; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1861.05; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2351.64; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1862.54; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2322.21; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1833.11; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2150.59; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2009.64; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2377.63; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2236.67; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2186.46; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2045.5; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1962.79; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "37.9291, 40.1555, 43.9254, 50.4687, 61.4431, 80.6421, 116.618", \ + "39.111, 41.3361, 45.1131, 51.6403, 62.5962, 81.825, 117.805", \ + "40.8155, 43.0359, 46.8086, 53.3511, 64.3253, 83.5275, 119.503", \ + "42.9549, 45.1743, 48.9403, 55.5162, 66.4824, 85.6755, 121.653", \ + "45.7721, 47.9941, 51.7663, 58.3254, 69.2979, 88.4711, 124.453", \ + "48.945, 51.1699, 54.9406, 61.4784, 72.4471, 91.6534, 127.779", \ + "51.7892, 54.0129, 57.7728, 64.2982, 75.2465, 94.4387, 130.405" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.0937, 18.7514, 23.9832, 34.0761, 53.5481, 92.3388, 171.347", \ + "16.0935, 18.7544, 24.0028, 34.0775, 53.5484, 92.3363, 171.374", \ + "16.0959, 18.7517, 23.9837, 34.0778, 53.5493, 92.3495, 171.374", \ + "16.12, 18.7627, 23.9806, 34.121, 53.5873, 92.3672, 171.392", \ + "16.117, 18.7682, 24.0068, 34.1792, 53.5848, 92.3537, 171.38", \ + "16.1307, 18.7834, 24.0128, 34.0954, 53.6084, 92.4607, 171.597", \ + "16.2355, 18.875, 24.076, 34.1416, 53.6818, 92.779, 171.435" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "37.0539, 39.4315, 43.5095, 50.3078, 61.1991, 79.6141, 112.82", \ + "38.2367, 40.5982, 44.7084, 51.5229, 62.4498, 80.8447, 114.057", \ + "40.0004, 42.3757, 46.4522, 53.2519, 64.1467, 82.5582, 115.765", \ + "42.3732, 44.759, 48.8254, 55.6179, 66.5171, 84.9188, 118.123", \ + "45.1964, 47.5667, 51.6426, 58.4429, 69.3222, 87.7235, 120.929", \ + "48.5658, 50.9337, 55.0133, 61.8103, 72.7167, 91.097, 124.286", \ + "51.5779, 53.9497, 58.0396, 64.8464, 75.7749, 94.1991, 127.394" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.1174, 19.6795, 24.3916, 33.2731, 50.4103, 84.0659, 152.107", \ + "17.117, 19.6804, 24.3935, 33.2685, 50.4251, 84.0675, 152.107", \ + "17.1079, 19.6717, 24.3849, 33.2695, 50.412, 84.064, 152.107", \ + "17.1154, 19.6977, 24.4213, 33.2939, 50.4412, 84.0839, 152.116", \ + "17.1149, 19.6618, 24.4134, 33.34, 50.4238, 84.0717, 152.102", \ + "17.0989, 19.6826, 24.4099, 33.29, 50.4474, 84.0487, 152.1", \ + "17.2436, 19.836, 24.5634, 33.4507, 50.6893, 84.2842, 152.742" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.39391, 1.29905, 1.18392, 1.09601, 1.04438, 1.01588, 1.00059", \ + "1.39285, 1.29836, 1.18359, 1.09505, 1.04334, 1.01482, 0.999444", \ + "1.4002, 1.30518, 1.19056, 1.10176, 1.05024, 1.02207, 1.0064", \ + "1.4229, 1.32786, 1.21312, 1.12259, 1.07019, 1.04125, 1.02543", \ + "1.48886, 1.39325, 1.2795, 1.19448, 1.13677, 1.10328, 1.08688", \ + "1.6391, 1.54667, 1.42977, 1.33972, 1.29271, 1.30972, 1.27493", \ + "1.96644, 1.86918, 1.75338, 1.66346, 1.62038, 1.60357, 1.57136" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.54843, 1.45435, 1.32164, 1.19409, 1.11629, 1.07522, 1.05086", \ + "1.54704, 1.45293, 1.32052, 1.19289, 1.11516, 1.07482, 1.04942", \ + "1.55203, 1.45751, 1.32468, 1.19745, 1.11947, 1.07835, 1.05407", \ + "1.57475, 1.48181, 1.34765, 1.22092, 1.14225, 1.10115, 1.07665", \ + "1.63342, 1.53783, 1.40583, 1.27828, 1.19934, 1.15874, 1.13452", \ + "1.77847, 1.68304, 1.5496, 1.42195, 1.34156, 1.30031, 1.27771", \ + "2.10193, 2.00568, 1.87284, 1.73951, 1.65951, 1.6163, 1.59136" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55855, 1.46367, 1.34851, 1.26058, 1.20894, 1.1804, 1.16514", \ + "1.55723, 1.463, 1.34827, 1.25937, 1.20778, 1.17926, 1.1639", \ + "1.56409, 1.46904, 1.35439, 1.26554, 1.21397, 1.18568, 1.17004", \ + "1.5872, 1.49203, 1.37713, 1.28906, 1.23696, 1.20845, 1.19295", \ + "1.65239, 1.55654, 1.44191, 1.3556, 1.30199, 1.27302, 1.25752", \ + "1.80311, 1.70993, 1.59273, 1.50171, 1.44777, 1.41995, 1.40544", \ + "2.13044, 2.03328, 1.91752, 1.82668, 1.77241, 1.74246, 1.72604" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.68933, 1.59527, 1.46254, 1.33493, 1.25711, 1.2161, 1.19169", \ + "1.68752, 1.59344, 1.46066, 1.33321, 1.25573, 1.21464, 1.19015", \ + "1.69192, 1.59741, 1.46463, 1.33753, 1.2597, 1.2188, 1.19453", \ + "1.71343, 1.61891, 1.4854, 1.35585, 1.27817, 1.23545, 1.21051", \ + "1.77234, 1.67784, 1.54448, 1.41932, 1.33646, 1.29235, 1.26881", \ + "1.9182, 1.82375, 1.69115, 1.56489, 1.48543, 1.44101, 1.40623", \ + "2.24206, 2.14574, 2.01312, 1.88099, 1.81329, 1.79319, 1.78505" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.529519; + rise_capacitance : 0.52778; + rise_capacitance_range (0.427475, 0.52778); + fall_capacitance : 0.529519; + fall_capacitance_range (0.423721, 0.529519); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.4004, 35.4004, 35.4004, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.5039, 30.5039, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.674283, 0.671597, 0.680832, 0.713979, 0.793671, 0.968348, 1.33573" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.560324, 0.557452, 0.566547, 0.599724, 0.680001, 0.854011, 1.22086" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32103, 0.318553, 0.325155, 0.3491, 0.408045, 0.5516, 0.865063" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483988, 0.482894, 0.490874, 0.519381, 0.589983, 0.749109, 1.08405" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465159, 0.463298, 0.469184, 0.492818, 0.552444, 0.695681, 1.00908" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.34044, 0.338601, 0.346737, 0.375165, 0.445368, 0.605004, 0.939963" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.305952, 0.304236, 0.31082, 0.332652, 0.393833, 0.538784, 0.851956" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.499211, 0.497502, 0.504392, 0.535998, 0.604881, 0.76297, 1.09645" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465679, 0.464081, 0.470295, 0.491811, 0.553151, 0.698545, 1.01138" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338726, 0.337235, 0.344336, 0.375101, 0.444511, 0.602755, 0.936635" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.790664, 0.789272, 0.795892, 0.824058, 0.894577, 1.0524, 1.38441" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.577965, 0.575778, 0.582484, 0.611331, 0.68137, 0.839253, 1.1718" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.68053, 0.678587, 0.687048, 0.719338, 0.798775, 0.973807, 1.33938" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.647499, 0.646237, 0.654097, 0.686609, 0.766028, 0.94051, 1.30503" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327259, 0.324759, 0.331428, 0.355355, 0.414312, 0.557867, 0.87137" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478994, 0.477803, 0.485617, 0.51467, 0.584729, 0.743749, 1.07861" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467411, 0.46592, 0.471878, 0.495488, 0.555115, 0.698367, 1.01187" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337479, 0.336506, 0.344459, 0.373482, 0.443046, 0.60257, 0.937265" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.677968, 0.677696, 0.68612, 0.718716, 0.796803, 0.97218, 1.337" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.676232, 0.675935, 0.684331, 0.717508, 0.795853, 0.970354, 1.33382" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326521, 0.324555, 0.331949, 0.355355, 0.414838, 0.557898, 0.87141" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478804, 0.477553, 0.485969, 0.515639, 0.585102, 0.744308, 1.07893" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467191, 0.4655, 0.472271, 0.495406, 0.555418, 0.698147, 1.01161" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338214, 0.336528, 0.345293, 0.37489, 0.443829, 0.603312, 0.93805" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.67271, 0.671387, 0.679302, 0.711375, 0.791399, 0.967776, 1.33329" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.558228, 0.557411, 0.565212, 0.597554, 0.677236, 0.853521, 1.21866" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320315, 0.318371, 0.32569, 0.349108, 0.408539, 0.5517, 0.865136" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483633, 0.483114, 0.490905, 0.518828, 0.590029, 0.749316, 1.08406" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.464742, 0.463111, 0.469775, 0.492959, 0.552941, 0.695602, 1.00914" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.339587, 0.338863, 0.346774, 0.375004, 0.445347, 0.604969, 0.939976" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306183, 0.304576, 0.311081, 0.332979, 0.394334, 0.538839, 0.851814" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497353, 0.495717, 0.502699, 0.534393, 0.602174, 0.761481, 1.09494" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.466545, 0.465014, 0.47118, 0.492514, 0.554373, 0.699163, 1.01182" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.336247, 0.335088, 0.3422, 0.373053, 0.441808, 0.600752, 0.934475" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.742245, 0.740275, 0.746374, 0.774372, 0.84518, 1.00294, 1.33524" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.569433, 0.567624, 0.574092, 0.602555, 0.673045, 0.830561, 1.16335" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.311001, 0.309605, 0.315895, 0.337686, 0.398914, 0.543875, 0.857074" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493666, 0.492353, 0.499301, 0.530892, 0.599821, 0.757738, 1.0914" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472765, 0.471465, 0.477412, 0.498918, 0.560173, 0.705685, 1.01856" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.331719, 0.329958, 0.33718, 0.367919, 0.437312, 0.59555, 0.92962" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.786589, 0.785235, 0.791414, 0.819207, 0.889869, 1.04786, 1.38042" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564449, 0.563302, 0.569971, 0.598273, 0.668239, 0.826424, 1.15952" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.612542; + rise_capacitance : 0.607195; + rise_capacitance_range (0.50569, 0.607195); + fall_capacitance : 0.612542; + fall_capacitance_range (0.544887, 0.612542); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.25934, -4.14665, -3.93892, -6.26709, -4.31601, -5.75993, -8.64776", \ + "-4.56192, -4.44923, -4.24151, -3.89664, -4.61859, -6.06251, -12.9478", \ + "-5.15556, -5.04287, -4.83514, -4.49027, -5.21223, -6.65615, -9.54398", \ + "-8.9502, -6.184, -5.97628, -8.24219, -6.35336, -7.79728, -13.5449", \ + "-12.3919, -8.28172, -8.07399, -7.72912, -8.45108, -9.895, -12.7828", \ + "-15.8491, -11.739, -11.5312, -11.1864, -11.9083, -13.3522, -16.2401", \ + "-15.8133, -15.7006, -15.4929, -17.9102, -15.87, -17.3139, -20.2017" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.140078, 1.3215, 3.58987, 5.15381, 8.50849, 10.028, 13.0671", \ + "0.209578, 1.391, 3.65937, 7.81822, 8.57799, 10.0975, 13.1366", \ + "0.340288, 1.52171, 3.79008, 3.95143, 8.7087, 10.2282, 13.2673", \ + "-2.0459, 1.74997, 4.01834, 5.625, 8.93695, 10.4565, 10.7539", \ + "0.361792, 1.54321, 3.81159, 3.97293, 8.7302, 10.2497, 9.2913", \ + "-0.0517143, 1.12971, 3.39808, 3.55943, 8.3167, 9.83623, 12.8753", \ + "-0.878726, 0.302697, 2.57107, 4.28125, 7.48968, 9.00922, 12.0483" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.25934, -4.14665, -3.93892, -6.26709, -4.31601, -5.75993, -8.64776", \ + "-4.56192, -4.44923, -4.24151, -3.89664, -4.61859, -6.06251, -8.95034", \ + "-5.15556, -5.04287, -4.83514, -4.49027, -5.21223, -6.65615, -9.54398", \ + "-8.9502, -6.184, -5.97628, -8.24219, -6.35336, -7.79728, -13.5449", \ + "-12.3919, -8.28172, -8.07399, -7.72912, -8.45108, -9.895, -12.7828", \ + "-15.8491, -11.739, -11.5312, -11.1864, -11.9083, -13.3522, -16.2401", \ + "-19.8108, -15.7006, -15.4929, -17.9102, -15.87, -17.3139, -20.2017" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.39496, 2.50286, 4.62865, 5.88623, 9.10266, 14.2651, 12.5974", \ + "1.38956, 2.49746, 4.62325, 8.5148, 9.09725, 10.2622, 12.592", \ + "1.37142, 2.47932, 4.60511, 4.49916, 9.07911, 10.244, 12.5738", \ + "-1.32813, 2.41375, 4.53954, 5.85938, 9.01354, 10.1784, 9.62891", \ + "1.05752, 2.16542, 0.293712, 4.18526, 8.76521, 9.93012, 12.2599", \ + "0.0920968, 1.2, 3.32579, 3.21984, 7.79979, 8.96469, 11.2945", \ + "-3.7138, -2.6059, -0.480103, 0.741965, 3.9939, 9.1563, 7.4886" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.25934, -4.14665, -3.93892, -6.26709, -4.31601, -5.75993, -8.64776", \ + "-4.56192, -4.44923, -4.24151, -3.89664, -4.61859, -6.06251, -8.95034", \ + "-5.15556, -5.04287, -4.83514, -4.49027, -5.21223, -6.65615, -9.54398", \ + "-8.9502, -6.184, -5.97628, -8.24219, -6.35336, -7.79728, -13.5449", \ + "-12.3919, -8.28172, -8.07399, -7.72912, -8.45108, -9.895, -12.7828", \ + "-15.8491, -11.739, -11.5312, -11.1864, -11.9083, -13.3522, -16.2401", \ + "-15.8133, -15.7006, -15.4929, -17.9102, -15.87, -17.3139, -20.2017" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.39496, 2.50286, 4.62865, 5.88623, 9.10266, 14.2651, 13.0671", \ + "1.38956, 2.49746, 4.62325, 8.5148, 9.09725, 10.2622, 13.1366", \ + "1.37142, 2.47932, 4.60511, 4.49916, 9.07911, 10.244, 13.2673", \ + "-1.32813, 2.41375, 4.53954, 5.85938, 9.01354, 10.4565, 10.7539", \ + "1.05752, 2.16542, 3.81159, 4.18526, 8.76521, 10.2497, 12.2599", \ + "0.0920968, 1.2, 3.39808, 3.55943, 8.3167, 9.83623, 12.8753", \ + "-0.878726, 0.302697, 2.57107, 4.28125, 7.48968, 9.1563, 12.0483" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.4037, 14.9167, 13.9869, 9.39697, 12.4795, 12.8296, 17.5275", \ + "16.1875, 15.7005, 14.7707, 13.0882, 13.2633, 13.6134, 18.3113", \ + "17.7071, 17.22, 12.2928, 14.6077, 10.7853, 15.1329, 15.8333", \ + "17.627, 16.0694, 15.1396, 14.6094, 13.6321, 13.9823, 15.8203", \ + "21.4812, 20.9941, 20.0644, 18.3818, 14.5594, 14.9095, 19.6074", \ + "24.2576, 23.7706, 22.8408, 21.1583, 21.3333, 21.6835, 22.3838", \ + "33.4982, 33.0112, 28.0839, 27.5195, 26.5765, 26.9266, 27.627" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9118, 9.83751, 7.76815, 5.03662, 1.56922, 0.0431232, -1.16392", \ + "11.286, 10.2116, 8.14229, 4.32067, 1.94336, 0.417262, -0.789784", \ + "12.0257, 10.9513, 8.88198, 9.05786, 2.68305, 1.15695, -0.0500915", \ + "14.4707, 12.3964, 10.327, 8.01082, 4.1281, 2.602, -1.47461", \ + "20.2209, 15.1491, 13.0798, 13.2557, 6.88084, 5.35474, 4.1477", \ + "25.177, 20.1052, 18.0358, 18.2117, 11.8369, 10.3108, 5.10627", \ + "32.8915, 31.8172, 25.7503, 23.0469, 19.5514, 18.0253, 12.8208" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.4037, 14.9167, 13.9869, 9.39697, 12.4795, 12.8296, 17.5275", \ + "16.1875, 15.7005, 10.7732, 13.0882, 13.2633, 13.6134, 14.3138", \ + "17.7071, 13.2225, 12.2928, 14.6077, 10.7853, 15.1329, 15.8333", \ + "17.627, 16.0694, 15.1396, 14.6094, 13.6321, 13.9823, 15.8203", \ + "21.4812, 20.9941, 20.0644, 18.3818, 14.5594, 14.9095, 19.6074", \ + "28.2551, 23.7706, 22.8408, 21.1583, 21.3333, 21.6835, 22.3838", \ + "33.4982, 33.0112, 28.0839, 27.5195, 26.5765, 26.9266, 27.627" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5224, 8.78073, 3.34976, 1.7334, 0.143246, -3.34965, -4.72819", \ + "10.2587, 9.51707, 4.0861, 1.41871, 0.879587, -2.61331, -3.99185", \ + "11.6928, 10.9511, 5.52017, 6.85027, 2.31365, -1.17925, -2.55779", \ + "11.4404, 9.66726, 8.2338, 6.67969, 5.02728, 1.53438, -2.52706", \ + "15.2182, 14.4766, 13.0431, 10.3757, 5.83908, 2.34618, 0.967648", \ + "22.365, 21.6233, 16.1924, 17.5225, 12.9859, 9.49296, 4.11693", \ + "30.7687, 26.0296, 24.5961, 23.0469, 21.3896, 13.8992, 12.5207" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.4037, 14.9167, 13.9869, 9.39697, 12.4795, 12.8296, 17.5275", \ + "16.1875, 15.7005, 14.7707, 13.0882, 13.2633, 13.6134, 18.3113", \ + "17.7071, 17.22, 12.2928, 14.6077, 10.7853, 15.1329, 15.8333", \ + "17.627, 16.0694, 15.1396, 14.6094, 13.6321, 13.9823, 15.8203", \ + "21.4812, 20.9941, 20.0644, 18.3818, 14.5594, 14.9095, 19.6074", \ + "28.2551, 23.7706, 22.8408, 21.1583, 21.3333, 21.6835, 22.3838", \ + "33.4982, 33.0112, 28.0839, 27.5195, 26.5765, 26.9266, 27.627" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9118, 9.83751, 7.76815, 5.03662, 1.56922, 0.0431232, -1.16392", \ + "11.286, 10.2116, 8.14229, 4.32067, 1.94336, 0.417262, -0.789784", \ + "12.0257, 10.9513, 8.88198, 9.05786, 2.68305, 1.15695, -0.0500915", \ + "14.4707, 12.3964, 10.327, 8.01082, 5.02728, 2.602, -1.47461", \ + "20.2209, 15.1491, 13.0798, 13.2557, 6.88084, 5.35474, 4.1477", \ + "25.177, 21.6233, 18.0358, 18.2117, 12.9859, 10.3108, 5.10627", \ + "32.8915, 31.8172, 25.7503, 23.0469, 21.3896, 18.0253, 12.8208" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0626023, -0.0621106, -0.0625371, -0.0621776, -0.0621333, -0.0622857, -0.0618809" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0626493, 0.0631533, 0.0630429, 0.0632078, 0.0637614, 0.0634198, 0.0631583" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0899186, 0.0904523, 0.0902899, 0.0904877, 0.0902881, 0.0906734, 0.0903738" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0884005, -0.0892382, -0.0887615, -0.0892745, -0.0902881, -0.0894062, -0.088979" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0624606, -0.0625042, -0.0627613, -0.062897, -0.0623554, -0.062384, -0.0621409" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0629631, 0.0635716, 0.0630519, 0.0639787, 0.0636582, 0.0633946, 0.0631426" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0498937, 0.0498532, 0.0499447, 0.0500218, 0.0498354, 0.0497575, 0.0495996" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0498937, -0.0498532, -0.0499447, -0.0500218, -0.0498354, -0.0497575, -0.0495677" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0470439, -0.0506422, -0.0544765, -0.0564873, -0.0586973, -0.0590684, -0.0596434" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0627243, 0.06311, 0.0630651, 0.0631364, 0.0636118, 0.0631039, 0.0629197" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0912243, 0.0904672, 0.0898638, 0.0888355, 0.0896166, 0.0884128, 0.0879712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0799893, -0.0812583, -0.0833261, -0.0842821, -0.085889, -0.0854494, -0.0853633" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0446382, -0.0486008, -0.0526536, -0.0556308, -0.0579113, -0.0584633, -0.0591325" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0619709, 0.0623257, 0.0622918, 0.0632673, 0.0628945, 0.0622847, 0.0622448" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.092176, 0.0905542, 0.0908795, 0.0906027, 0.0903068, 0.0891105, 0.0887226" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0862079, -0.0855498, -0.0866455, -0.0874955, -0.0872642, -0.0864434, -0.0863433" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0616969, -0.0623728, -0.0620126, -0.0628575, -0.0628643, -0.062321, -0.061938" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625386, 0.0637575, 0.0638778, 0.063841, 0.0638939, 0.0635361, 0.0632423" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0900799, 0.0904698, 0.0905842, 0.0913283, 0.0911724, 0.0906189, 0.0904228" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0881791, -0.0894538, -0.0897277, -0.0892414, -0.0901022, -0.0892072, -0.0888746" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0653328, -0.0659765, -0.065701, -0.0664451, -0.0664911, -0.0655954, -0.0656546" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0695561, 0.068926, 0.0693464, 0.0696266, 0.0700739, 0.0692018, 0.06861" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0446871, 0.0450015, 0.0448729, 0.0450635, 0.0449769, 0.0443385, 0.0441634" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0438331, -0.0430377, -0.0431541, -0.0433233, -0.0436145, -0.0431651, -0.0430179" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192381, 0.189541, 0.191034, 0.206805, 0.263372, 0.414488, 0.752007" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539319, 0.534464, 0.531894, 0.540172, 0.587421, 0.7374, 1.08727" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.531231, 0.528874, 0.529551, 0.545261, 0.601112, 0.753016, 1.08768" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193781, 0.188751, 0.185872, 0.194463, 0.242245, 0.392043, 0.742767" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.195272, 0.192194, 0.194096, 0.212093, 0.272554, 0.425593, 0.765845" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517727, 0.513065, 0.512155, 0.521948, 0.574354, 0.727157, 1.08401" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514527, 0.512188, 0.512651, 0.530415, 0.592208, 0.745074, 1.08217" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.189455, 0.184476, 0.183697, 0.193771, 0.245855, 0.399044, 0.756061" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.19921; + rise_capacitance : 1.19531; + rise_capacitance_range (0.992976, 1.19531); + fall_capacitance : 1.19921; + fall_capacitance_range (0.969911, 1.19921); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.64795, -5.90991, -0.490261, -0.456542, 2.53543, 4.96971, 4.74732", \ + "-7.54215, -6.80412, -1.38446, -2.75333, 1.64123, 4.0755, 3.85312", \ + "-9.26172, -8.52369, -3.10404, -4.4729, -0.0783397, 2.35593, 2.13355", \ + "-11.0229, -7.69, -6.26785, -6.17187, -3.24215, -0.807883, 0.107427", \ + "-13.6543, -12.9163, -11.4942, -8.86552, -4.47096, -2.03669, -2.25907", \ + "-14.8886, -14.1505, -12.7284, -10.0998, -5.70519, -7.26841, -3.4933", \ + "-18.1726, -13.4371, -12.0149, -12.1094, -8.98923, -6.55496, -6.77734" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-15.0552, -14.575, -13.6645, -14.6973, -12.8062, -14.331, -17.3807", \ + "-15.7442, -15.2639, -14.3534, -12.7327, -13.4951, -15.0199, -18.0696", \ + "-17.1019, -16.6216, -15.7111, -18.0879, -14.8528, -16.3776, -23.4248", \ + "-22.3901, -19.2564, -18.3459, -19.3359, -21.4851, -23.0099, -24.9219", \ + "-24.6837, -24.2035, -23.293, -25.6697, -26.4322, -27.957, -31.0067", \ + "-33.288, -32.8078, -31.8973, -34.2741, -35.0365, -32.5638, -39.611", \ + "-45.3372, -44.857, -43.9465, -45.0879, -47.0857, -48.6105, -51.6602" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.49664, -2.06179, -1.23927, -2.49512, -0.645879, -2.3716, -5.82305", \ + "-2.43863, -2.00378, -1.18126, -3.7225, -4.58537, -2.31359, -5.76504", \ + "-2.31766, -1.88281, -1.0603, -3.60154, -4.4644, -2.19262, -5.64407", \ + "-4.74854, -1.62111, -0.798601, -1.99219, -4.20271, -1.93093, -8.26171", \ + "-1.45352, -1.01867, -4.19366, -2.7374, -3.60026, -1.32848, -4.77993", \ + "-3.92991, 0.502442, -2.67254, -1.21629, -2.07915, -3.80487, -7.25632", \ + "4.37469, 4.80954, 5.63206, 4.32617, 6.22545, 4.49973, -2.94922" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.53211, 7.82204, 6.29845, 8.20801, 11.394, 12.5372, 14.8234", \ + "2.67818, 3.96811, 6.44202, 10.966, 11.5376, 12.6807, 14.967", \ + "2.93604, 4.22597, 6.69988, 7.22638, 11.7954, 12.9386, 15.2249", \ + "0.720214, 4.62459, 7.0985, 9.625, 8.19657, 13.3372, 12.7539", \ + "1.78987, 3.07981, 5.55372, 6.08022, 10.6493, 11.7924, 14.0787", \ + "-1.54995, -0.260022, 2.21389, 2.74039, 7.30946, 12.4501, 10.7389", \ + "-7.47882, -6.18889, -3.71498, -1.91407, 1.38059, 6.52123, 8.8075" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.49664, -2.06179, -0.490261, -0.456542, 2.53543, 4.96971, 4.74732", \ + "-2.43863, -2.00378, -1.18126, -2.75333, 1.64123, 4.0755, 3.85312", \ + "-2.31766, -1.88281, -1.0603, -3.60154, -0.0783397, 2.35593, 2.13355", \ + "-4.74854, -1.62111, -0.798601, -1.99219, -3.24215, -0.807883, 0.107427", \ + "-1.45352, -1.01867, -4.19366, -2.7374, -3.60026, -1.32848, -2.25907", \ + "-3.92991, 0.502442, -2.67254, -1.21629, -2.07915, -3.80487, -3.4933", \ + "4.37469, 4.80954, 5.63206, 4.32617, 6.22545, 4.49973, -2.94922" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.53211, 7.82204, 6.29845, 8.20801, 11.394, 12.5372, 14.8234", \ + "2.67818, 3.96811, 6.44202, 10.966, 11.5376, 12.6807, 14.967", \ + "2.93604, 4.22597, 6.69988, 7.22638, 11.7954, 12.9386, 15.2249", \ + "0.720214, 4.62459, 7.0985, 9.625, 8.19657, 13.3372, 12.7539", \ + "1.78987, 3.07981, 5.55372, 6.08022, 10.6493, 11.7924, 14.0787", \ + "-1.54995, -0.260022, 2.21389, 2.74039, 7.30946, 12.4501, 10.7389", \ + "-7.47882, -6.18889, -3.71498, -1.91407, 1.38059, 6.52123, 8.8075" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.5615, 18.7791, 17.2715, 11.5771, 9.8243, 7.46864, 3.44409", \ + "20.371, 19.5886, 18.081, 15.2941, 10.6338, 8.27814, 4.25358", \ + "21.934, 21.1516, 19.644, 16.8571, 12.1968, 9.84116, 5.8166", \ + "21.9092, 24.0538, 18.5486, 16.9141, 15.099, 12.7433, 10.7187", \ + "25.7473, 24.965, 23.4573, 20.6704, 16.0102, 13.6545, 13.6275", \ + "28.8155, 28.0331, 26.5255, 23.7386, 19.0783, 16.7227, 12.6981", \ + "30.1238, 29.3414, 27.8338, 27.0469, 20.3866, 18.031, 18.0039" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8876, 23.525, 18.8389, 18.7183, 18.0879, 19.0463, 24.9606", \ + "24.9968, 24.6341, 23.9455, 22.7153, 19.197, 20.1554, 26.0698", \ + "27.1591, 26.7964, 22.1103, 24.8776, 21.3593, 22.3177, 28.2321", \ + "28.3325, 26.8993, 26.2107, 26.1328, 25.4597, 26.4181, 29.4727", \ + "34.566, 34.2033, 33.5147, 32.2845, 32.7637, 33.7222, 35.639", \ + "41.5898, 41.2271, 40.5385, 39.3083, 39.7875, 40.7459, 42.6628", \ + "57.28, 56.9173, 52.2312, 52.1191, 51.4802, 52.4386, 54.3555" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.60449, 9.18869, 8.39918, 8.03955, 7.5347, 8.62706, 10.8118", \ + "9.73674, 9.32094, 8.53143, 7.12078, 7.66695, 8.75931, 10.944", \ + "9.98392, 9.56811, 8.7786, 7.36795, 7.91412, 9.00648, 11.1912", \ + "11.4404, 9.99313, 9.20362, 8.90625, 8.33914, 9.4315, 12.7344", \ + "13.87, 13.4542, 8.66721, 11.2541, 7.80273, 8.89509, 11.0798", \ + "12.7972, 12.3814, 11.5919, 10.1812, 6.72991, 7.82227, 10.007", \ + "10.6516, 10.2358, 9.44624, 5.15626, 4.58427, 5.67662, 7.86133" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.57855, 6.90337, 5.59681, 0.231933, -1.01617, -4.954, -6.44367", \ + "8.7591, 8.08392, 6.77735, 4.33942, 0.164378, -3.77345, -5.26312", \ + "11.0595, 10.3843, 9.0777, 6.63977, 2.46473, -1.4731, -2.96277", \ + "12.4707, 10.7445, 9.43793, 9, 2.82496, -1.11288, -1.47461", \ + "19.1632, 18.4881, 13.184, 10.7461, 6.57103, 2.63319, 1.14353", \ + "26.7653, 26.0902, 24.7836, 18.3482, 18.1706, 10.2353, 8.74562", \ + "42.4094, 41.7342, 40.4276, 35.9922, 29.8171, 25.8793, 20.3921" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.5615, 18.7791, 17.2715, 11.5771, 9.8243, 8.62706, 10.8118", \ + "20.371, 19.5886, 18.081, 15.2941, 10.6338, 8.75931, 10.944", \ + "21.934, 21.1516, 19.644, 16.8571, 12.1968, 9.84116, 11.1912", \ + "21.9092, 24.0538, 18.5486, 16.9141, 15.099, 12.7433, 12.7344", \ + "25.7473, 24.965, 23.4573, 20.6704, 16.0102, 13.6545, 13.6275", \ + "28.8155, 28.0331, 26.5255, 23.7386, 19.0783, 16.7227, 12.6981", \ + "30.1238, 29.3414, 27.8338, 27.0469, 20.3866, 18.031, 18.0039" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.8876, 23.525, 18.8389, 18.7183, 18.0879, 19.0463, 24.9606", \ + "24.9968, 24.6341, 23.9455, 22.7153, 19.197, 20.1554, 26.0698", \ + "27.1591, 26.7964, 22.1103, 24.8776, 21.3593, 22.3177, 28.2321", \ + "28.3325, 26.8993, 26.2107, 26.1328, 25.4597, 26.4181, 29.4727", \ + "34.566, 34.2033, 33.5147, 32.2845, 32.7637, 33.7222, 35.639", \ + "41.5898, 41.2271, 40.5385, 39.3083, 39.7875, 40.7459, 42.6628", \ + "57.28, 56.9173, 52.2312, 52.1191, 51.4802, 52.4386, 54.3555" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.011648, 0.00771369, 0.00410063, 0.00717089, 0.0273969, 0.0894211, 0.229389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.303208, 0.29846, 0.291895, 0.293949, 0.317527, 0.383464, 0.532015" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32005, 0.31698, 0.314231, 0.315762, 0.338529, 0.401389, 0.541546" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0350084, -0.0385052, -0.0403784, -0.03054, -0.000845019, 0.0673764, 0.218627" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0299012, -0.0317504, -0.0312018, -0.0239858, 0.00100925, 0.0628868, 0.203617" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.293247, 0.29055, 0.291765, 0.303311, 0.333092, 0.404381, 0.556097" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.302602, 0.30023, 0.299931, 0.307509, 0.331832, 0.394481, 0.534583" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0349462, -0.0369846, -0.036976, -0.0249998, 0.00588702, 0.075569, 0.227044" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11826, 0.114918, 0.116527, 0.122839, 0.150939, 0.243793, 0.490543" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.45087, 0.451472, 0.462022, 0.499386, 0.582891, 0.756907, 1.10178" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.358932, 0.356794, 0.356022, 0.363726, 0.393097, 0.484065, 0.730238" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197487, 0.197871, 0.208704, 0.246374, 0.329665, 0.502472, 0.845193" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.495252, 0.49156, 0.490884, 0.497452, 0.523863, 0.589981, 0.746796" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539312, 0.534473, 0.527524, 0.532341, 0.561916, 0.639508, 0.812635" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.609038, 0.606383, 0.604723, 0.610304, 0.63735, 0.704334, 0.861015" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.418704, 0.415273, 0.407138, 0.413669, 0.441094, 0.51924, 0.693305" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.2337, 0.227651, 0.229099, 0.253254, 0.337228, 0.552142, 1.03303" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.657486, 0.652162, 0.654942, 0.684291, 0.780303, 1.02779, 1.56335" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671296, 0.666348, 0.666863, 0.690639, 0.776971, 0.989853, 1.4698" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219369, 0.214461, 0.217268, 0.24603, 0.341793, 0.591668, 1.12697" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0232105, -0.0261005, -0.025152, -0.0180015, 0.00622561, 0.0688219, 0.209247" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.289267, 0.286585, 0.287529, 0.299706, 0.32894, 0.399341, 0.551357" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.308425, 0.306449, 0.306958, 0.314191, 0.337844, 0.400769, 0.54059" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0388447, -0.0405547, -0.0407238, -0.0286248, 0.000656229, 0.0704431, 0.222644" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654788; + rise_capacitance : 0.64775; + rise_capacitance_range (0.530858, 0.64775); + fall_capacitance : 0.654788; + fall_capacitance_range (0.549331, 0.654788); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.47564, -2.99352, -2.07806, -3.13476, -5.06958, -6.32934, -8.84887", \ + "-3.79701, -3.31489, -2.39942, -4.76107, -5.39095, -6.65071, -9.17024", \ + "-4.41606, -3.93394, -3.01847, -5.38011, -6.00999, -7.26976, -9.78929", \ + "-8.23242, -5.07727, -4.1618, -5.15625, -3.15582, -4.41558, -9.80468", \ + "-7.46697, -6.98485, -6.06938, -4.43352, -5.06341, -6.32317, -8.8427", \ + "-10.7309, -10.2487, -9.33327, -7.69741, -8.32729, -9.58705, -12.1066", \ + "-8.29865, -7.81653, -6.90106, -8.02735, -5.89508, -7.15485, -13.6719" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.21381, 4.39545, 6.66404, 8.20801, 11.5639, 13.0469, 12.0154", \ + "2.82422, 4.00587, 6.27446, 6.43537, 11.1744, 12.6573, 11.6258", \ + "2.05675, 3.23839, 5.50698, 5.66789, 10.4069, 11.8899, 10.8583", \ + "-2.0459, 1.75019, 4.01877, 5.625, 8.91868, 10.4017, 10.4981", \ + "-2.22084, -1.0392, 1.22939, 1.39031, 6.1293, 7.61228, 10.5782", \ + "-7.05151, -5.86987, -3.60128, 0.557129, 1.29862, 6.7791, 5.74756", \ + "-13.7205, -12.5389, -10.2703, -8.10938, -5.37039, 0.110092, -0.921445" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.20855, -1.99303, -1.58795, -3.57422, -1.54641, -2.87593, -5.53496", \ + "-2.17758, -1.96206, -1.55697, -0.850675, -1.51543, -2.84495, -5.50399", \ + "-2.12481, -1.90928, -1.5042, -0.797903, -1.46266, -2.79218, -5.45122", \ + "-4.74854, -5.83794, -5.43286, -3.35937, -1.39382, -2.72334, -8.26171", \ + "-6.0626, -5.84708, -5.44199, -4.7357, -5.40046, -6.72998, -9.38901", \ + "-6.66814, -6.45261, -6.04753, -5.34123, -6.00599, -7.33551, -9.99455", \ + "-10.2283, -10.0128, -9.60767, -7.65625, -9.56613, -10.8956, -13.5547" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.85156, 7.52648, 8.83221, 8.61328, 11.2942, 13.953, 17.5607", \ + "2.41833, 7.09325, 8.39898, 10.814, 10.861, 13.5198, 13.13", \ + "1.58279, 6.25771, 7.56344, 9.97849, 10.0255, 12.6843, 16.292", \ + "1.39893, 4.71032, 6.01604, 5.85938, 8.47806, 11.1369, 11.8652", \ + "1.43285, 2.11027, 3.416, 5.83105, 9.87551, 12.5343, 12.1445", \ + "-1.82788, -1.15047, 0.155261, 2.57031, 6.61477, 9.27359, 12.8813", \ + "-4.31226, -3.63485, -2.32912, 2.08593, 4.1304, 6.78921, 10.3969" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.20855, -1.99303, -1.58795, -3.13476, -1.54641, -2.87593, -5.53496", \ + "-2.17758, -1.96206, -1.55697, -0.850675, -1.51543, -2.84495, -5.50399", \ + "-2.12481, -1.90928, -1.5042, -0.797903, -1.46266, -2.79218, -5.45122", \ + "-4.74854, -5.07727, -4.1618, -3.35937, -1.39382, -2.72334, -8.26171", \ + "-6.0626, -5.84708, -5.44199, -4.43352, -5.06341, -6.32317, -8.8427", \ + "-6.66814, -6.45261, -6.04753, -5.34123, -6.00599, -7.33551, -9.99455", \ + "-8.29865, -7.81653, -6.90106, -7.65625, -5.89508, -7.15485, -13.5547" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.21381, 7.52648, 8.83221, 8.61328, 11.5639, 13.953, 17.5607", \ + "2.82422, 7.09325, 8.39898, 10.814, 11.1744, 13.5198, 13.13", \ + "2.05675, 6.25771, 7.56344, 9.97849, 10.4069, 12.6843, 16.292", \ + "1.39893, 4.71032, 6.01604, 5.85938, 8.91868, 11.1369, 11.8652", \ + "1.43285, 2.11027, 3.416, 5.83105, 9.87551, 12.5343, 12.1445", \ + "-1.82788, -1.15047, 0.155261, 2.57031, 6.61477, 9.27359, 12.8813", \ + "-4.31226, -3.63485, -2.32912, 2.08593, 4.1304, 6.78921, 10.3969" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5835, 10.1321, 9.27244, 8.79639, 8.08646, 8.80772, 14.2477", \ + "11.0317, 10.5803, 9.72061, 8.174, 8.53463, 9.25589, 14.6959", \ + "15.8971, 11.4482, 10.5885, 9.04192, 9.40255, 10.1238, 15.5638", \ + "14.5728, 13.0703, 12.2107, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.3112, 15.8598, 15.0001, 13.4535, 13.8142, 14.5354, 15.978", \ + "20.071, 19.6196, 18.7599, 17.2133, 13.5764, 14.2977, 15.7402", \ + "24.3112, 23.8598, 19.0027, 18.5742, 17.8167, 14.5404, 19.9805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.08737, 8.36907, 6.9773, 6.37305, -0.118297, -2.23484, -2.98838", \ + "9.72737, 9.00907, 7.6173, 5.01305, 0.521707, -1.59484, -2.34838", \ + "10.9936, 10.2753, 8.8835, 6.27925, 1.7879, -0.328645, -1.08218", \ + "14.4707, 12.7524, 11.3606, 10.0085, 8.26254, 2.14849, -1.47461", \ + "22.2015, 17.4857, 16.0939, 13.4897, 12.9958, 6.88177, 2.13073", \ + "30.784, 26.0682, 24.6764, 22.0722, 17.5808, 15.4643, 10.7133", \ + "44.413, 43.6947, 38.3054, 36.9375, 31.2098, 29.0933, 24.3422" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.5151, 11.7765, 10.3695, 9.7347, 8.38228, 9.47463, 11.6593", \ + "12.4919, 11.7533, 10.3463, 7.81292, 8.3591, 9.45145, 11.6362", \ + "12.4966, 11.758, 10.351, 7.81762, 8.36379, 9.45615, 11.6409", \ + "13.5034, 11.7333, 10.3263, 8.90625, 8.33914, 9.4315, 12.7344", \ + "16.7605, 16.022, 14.615, 12.0816, 12.6278, 9.72262, 15.9048", \ + "18.4321, 17.6935, 16.2865, 13.7531, 14.2993, 15.3917, 17.5764", \ + "26.1325, 21.3964, 19.9894, 18.5742, 18.0022, 15.0971, 21.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.69287, 5.58309, 3.44994, 1.5293, -2.93183, -3.25475, -6.9668", \ + "7.2528, 6.14302, 4.00987, 0.0892285, -2.3719, -2.69481, -6.40687", \ + "8.3571, 7.24732, 5.11416, 5.19102, -1.2676, -1.59052, -5.30257", \ + "11.5664, 9.39363, 7.26048, 4.45312, 0.878717, 0.555801, -1.15626", \ + "14.547, 13.4372, 11.304, 11.3809, 4.92226, 4.59935, 0.88729", \ + "21.6377, 20.5279, 18.3947, 18.4716, 12.013, 7.69257, 3.98051", \ + "31.8336, 30.7239, 28.5907, 26.2932, 22.2089, 17.8885, 14.1765" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.5151, 11.7765, 10.3695, 9.7347, 8.38228, 9.47463, 14.2477", \ + "12.4919, 11.7533, 10.3463, 8.174, 8.53463, 9.45145, 14.6959", \ + "15.8971, 11.758, 10.5885, 9.04192, 9.40255, 10.1238, 15.5638", \ + "14.5728, 13.0703, 12.2107, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.7605, 16.022, 15.0001, 13.4535, 13.8142, 14.5354, 15.978", \ + "20.071, 19.6196, 18.7599, 17.2133, 14.2993, 15.3917, 17.5764", \ + "26.1325, 23.8598, 19.9894, 18.5742, 18.0022, 15.0971, 21.2793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.08737, 8.36907, 6.9773, 6.37305, -0.118297, -2.23484, -2.98838", \ + "9.72737, 9.00907, 7.6173, 5.01305, 0.521707, -1.59484, -2.34838", \ + "10.9936, 10.2753, 8.8835, 6.27925, 1.7879, -0.328645, -1.08218", \ + "14.4707, 12.7524, 11.3606, 10.0085, 8.26254, 2.14849, -1.15626", \ + "22.2015, 17.4857, 16.0939, 13.4897, 12.9958, 6.88177, 2.13073", \ + "30.784, 26.0682, 24.6764, 22.0722, 17.5808, 15.4643, 10.7133", \ + "44.413, 43.6947, 38.3054, 36.9375, 31.2098, 29.0933, 24.3422" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0602291, -0.0644458, -0.0687472, -0.0709694, -0.0723708, -0.0721945, -0.0726214" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0754689, 0.0759595, 0.0760296, 0.0765956, 0.0765659, 0.0764847, 0.0762832" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0953335, 0.0918047, 0.0904366, 0.0893018, 0.0885625, 0.0871695, 0.086631" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0782299, -0.0800844, -0.0818404, -0.0830532, -0.0833137, -0.0834332, -0.0833393" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0134936, -0.0135064, -0.0135426, -0.0135854, -0.0136763, -0.0136544, -0.0135917" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0134936, 0.0135064, 0.0135426, 0.0135854, 0.0136763, 0.0136544, 0.0136235" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0980741, 0.0985984, 0.0981014, 0.0989415, 0.0991712, 0.0983936, 0.0980365" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0950063, -0.0954351, -0.0954381, -0.095601, -0.0965604, -0.0955692, -0.0949685" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0623357, -0.0656671, -0.0686278, -0.0704433, -0.0713679, -0.0722042, -0.0723847" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0757671, 0.0758705, 0.0767512, 0.0769787, 0.0767822, 0.0765602, 0.0764792" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0953011, 0.0912703, 0.0906035, 0.0892886, 0.088496, 0.0880594, 0.0873674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0837512, -0.083585, -0.0848761, -0.0851247, -0.0845626, -0.0843215, -0.084039" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0766743, -0.0772538, -0.0775481, -0.0770804, -0.0775515, -0.0775041, -0.0772972" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0769392, 0.0772538, 0.0775481, 0.0784001, 0.0783586, 0.0782068, 0.0780292" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0391086, 0.0393923, 0.0390276, 0.0390634, 0.0390736, 0.0388842, 0.0387418" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0388755, -0.0393923, -0.0390276, -0.0390634, -0.0390736, -0.0388785, -0.0383658" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179219, 0.175336, 0.175962, 0.192182, 0.245188, 0.381538, 0.680776" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.457811, 0.453121, 0.450933, 0.463358, 0.514927, 0.660322, 0.975551" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437515, 0.434319, 0.434632, 0.45125, 0.504515, 0.640695, 0.938771" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197358, 0.192714, 0.190519, 0.202829, 0.255187, 0.399928, 0.715828" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00511131, -0.00522842, -0.00517677, -0.00530048, -0.00514661, -0.0052418, -0.00527011" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0062251, 0.00652776, 0.0064232, 0.00634312, 0.00635293, 0.00632039, 0.00625868" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102904, 0.103161, 0.103209, 0.104055, 0.102848, 0.103002, 0.102447" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0992115, -0.10066, -0.101005, -0.100958, -0.101432, -0.1004, -0.0999592" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.175312, 0.172576, 0.17504, 0.195941, 0.25857, 0.409044, 0.733149" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.405853, 0.401848, 0.401613, 0.418706, 0.479621, 0.63801, 0.977488" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.3946, 0.391075, 0.394024, 0.415028, 0.478274, 0.62815, 0.951126" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.1842, 0.180223, 0.180015, 0.196813, 0.257837, 0.416164, 0.756217" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0783687, -0.0788264, -0.0797435, -0.0801222, -0.0803143, -0.0792372, -0.0793291" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0817139, 0.0819084, 0.0825501, 0.0829442, 0.0832678, 0.0825682, 0.0819862" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0356513, 0.035425, 0.0355894, 0.0355233, 0.035372, 0.0349233, 0.0347868" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0349522, -0.0342452, -0.0344984, -0.0345159, -0.0344721, -0.0340499, -0.0338143" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx4_ASAP7_75t_L) { + area : 0.45198; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2619.52; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3339.41; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2755.11; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3176.18; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2726.89; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3447; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2724.42; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3444.77; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2617.29; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3337.18; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2752.88; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3153.92; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2862.7; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3283.77; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2860.48; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3261.51; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3959.17; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3029.91; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3585.76; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3700.72; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4056.62; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3127.36; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4058.11; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3128.85; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3956.95; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3027.69; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3394.57; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3509.53; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3693.35; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3808.3; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3502.16; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3617.12; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3297.48; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "28.6153, 31.3483, 36.0317, 43.9081, 58.0348, 85.1437, 138.923", \ + "29.7598, 32.4911, 37.1727, 45.0527, 59.1858, 86.286, 140.062", \ + "31.6083, 34.3359, 39.0238, 46.9017, 61.035, 88.1354, 141.915", \ + "33.9526, 36.7444, 41.3544, 49.3206, 63.373, 90.4719, 144.251", \ + "36.9593, 39.6906, 44.3712, 52.2413, 66.404, 93.4936, 147.277", \ + "40.3091, 43.0279, 47.6991, 55.5612, 69.6946, 96.856, 150.611", \ + "43.1332, 45.8317, 50.4833, 58.335, 72.4511, 99.5428, 153.33" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.4973, 15.6275, 23.3661, 38.406, 68.7179, 130.638, 256.388", \ + "11.5015, 15.6277, 23.3672, 38.4067, 68.7142, 130.637, 256.37", \ + "11.4964, 15.633, 23.3671, 38.4072, 68.7182, 130.638, 256.388", \ + "11.4994, 15.6387, 23.3799, 38.4139, 68.7314, 130.64, 256.389", \ + "11.5021, 15.622, 23.4361, 38.4127, 68.7363, 130.637, 256.421", \ + "11.4906, 15.6185, 23.3623, 38.4862, 68.8001, 131.043, 256.425", \ + "11.5157, 15.7097, 23.3477, 38.3889, 68.6958, 130.904, 256.992" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "27.1759, 29.9602, 34.6639, 42.2995, 55.4414, 79.7919, 127.519", \ + "28.3284, 31.1186, 35.8216, 43.4575, 56.6004, 80.9497, 128.676", \ + "30.2355, 33.0175, 37.7217, 45.3574, 58.4752, 82.8512, 130.578", \ + "32.7634, 35.5424, 40.2483, 47.8966, 61.031, 85.3935, 133.11", \ + "35.9277, 38.7014, 43.4047, 51.0434, 64.176, 88.5489, 136.279", \ + "39.6091, 42.3851, 47.0913, 54.7387, 67.8786, 92.2656, 139.999", \ + "42.9934, 45.7711, 50.4966, 58.1775, 71.3693, 95.751, 143.503" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.1994, 14.8476, 21.6, 34.429, 59.8229, 111.346, 216.461", \ + "11.2008, 14.8468, 21.6007, 34.43, 59.8238, 111.346, 216.461", \ + "11.2044, 14.8499, 21.6032, 34.4308, 59.8109, 111.345, 216.461", \ + "11.2409, 14.8904, 21.6496, 34.47, 59.8474, 111.372, 216.465", \ + "11.2816, 14.9293, 21.6808, 34.6264, 59.8881, 111.374, 216.509", \ + "11.4343, 15.0699, 21.7928, 34.6785, 60.1019, 111.51, 216.487", \ + "11.8138, 15.4823, 22.1089, 34.8416, 60.2351, 112.074, 218.772" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.61884, 1.55733, 1.51837, 1.50046, 1.49522, 1.49455, 1.49461", \ + "1.61812, 1.55661, 1.51731, 1.50025, 1.49441, 1.49377, 1.49462", \ + "1.63108, 1.56794, 1.52984, 1.51288, 1.50708, 1.50653, 1.50697", \ + "1.67017, 1.60573, 1.56726, 1.54873, 1.5422, 1.5408, 1.54105", \ + "1.7738, 1.71314, 1.69177, 1.65351, 1.65414, 1.64266, 1.64254", \ + "2.00747, 1.94476, 1.90548, 1.90324, 1.92983, 1.95493, 1.88726", \ + "2.50425, 2.446, 2.40077, 2.38341, 2.3811, 2.42774, 2.44196" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.73705, 1.65991, 1.60559, 1.57879, 1.56615, 1.55865, 1.55436", \ + "1.73503, 1.65993, 1.60494, 1.57839, 1.56538, 1.55788, 1.55334", \ + "1.74491, 1.66767, 1.61301, 1.58677, 1.57396, 1.56658, 1.56215", \ + "1.78303, 1.70531, 1.65022, 1.62287, 1.60971, 1.60234, 1.5977", \ + "1.88223, 1.79993, 1.74284, 1.71603, 1.70354, 1.69889, 1.69706", \ + "2.11496, 2.03473, 1.97166, 1.94077, 1.92782, 1.9193, 1.91482", \ + "2.63249, 2.54693, 2.4794, 2.44263, 2.42544, 2.41771, 2.41323" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.83035, 1.7688, 1.72978, 1.71182, 1.70655, 1.70583, 1.70596", \ + "1.82949, 1.76789, 1.7285, 1.71133, 1.7054, 1.70473, 1.70507", \ + "1.84143, 1.77817, 1.73995, 1.72285, 1.71698, 1.71637, 1.71677", \ + "1.87953, 1.82101, 1.78045, 1.76265, 1.75589, 1.75488, 1.75518", \ + "1.98371, 1.92123, 1.88242, 1.86158, 1.85661, 1.85734, 1.85952", \ + "2.21857, 2.15566, 2.11365, 2.09505, 2.08842, 2.08859, 2.08836", \ + "2.71612, 2.65355, 2.61355, 2.59112, 2.58368, 2.58267, 2.58397" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.93328, 1.85608, 1.80168, 1.77481, 1.76212, 1.75458, 1.75027", \ + "1.93085, 1.85583, 1.80089, 1.77436, 1.76132, 1.75381, 1.74926", \ + "1.93912, 1.862, 1.80749, 1.7814, 1.7689, 1.76134, 1.75689", \ + "1.97648, 1.89776, 1.8407, 1.81333, 1.79993, 1.79289, 1.78794", \ + "2.0763, 1.99286, 1.93769, 1.92226, 1.90197, 1.87694, 1.86106", \ + "2.30957, 2.22977, 2.16904, 2.14208, 2.12871, 2.13225, 2.11426", \ + "2.82604, 2.74275, 2.67218, 2.63499, 2.63305, 2.70193, 2.87168" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.718067; + rise_capacitance : 0.718067; + rise_capacitance_range (0.578968, 0.718067); + fall_capacitance : 0.717723; + fall_capacitance_range (0.573515, 0.717723); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.10425, 1.10419, 1.1163, 1.1626, 1.27945, 1.54334, 2.08957" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.938552, 0.939413, 0.951271, 0.998399, 1.11499, 1.37805, 1.92428" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476932, 0.475366, 0.48481, 0.520444, 0.612332, 0.828825, 1.3007" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.681832, 0.683505, 0.694701, 0.737464, 0.845407, 1.08142, 1.5808" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671713, 0.670646, 0.679479, 0.714713, 0.806848, 1.02354, 1.49519" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.485742, 0.488639, 0.500061, 0.543289, 0.649677, 0.886354, 1.38618" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.450516, 0.449327, 0.459148, 0.49498, 0.587509, 0.80468, 1.27706" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.717176, 0.718232, 0.730216, 0.773061, 0.880967, 1.11764, 1.61834" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.662185, 0.66058, 0.669445, 0.705156, 0.797795, 1.01553, 1.48749" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.504641, 0.506682, 0.51897, 0.561996, 0.668531, 0.906176, 1.40759" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.18204, 1.18415, 1.19369, 1.23436, 1.3405, 1.57388, 2.07015" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.914238, 0.917495, 0.926958, 0.968568, 1.07364, 1.30727, 1.80475" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.15507, 1.15532, 1.16728, 1.21463, 1.33104, 1.59061, 2.13446" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.07467, 1.07518, 1.08662, 1.13487, 1.25168, 1.5101, 2.05283" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467811, 0.466704, 0.477221, 0.512326, 0.603818, 0.820139, 1.2922" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.692909, 0.694798, 0.706988, 0.748582, 0.856525, 1.09246, 1.59153" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.668066, 0.667548, 0.67755, 0.712133, 0.803805, 1.02044, 1.49229" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491181, 0.494043, 0.506354, 0.548705, 0.655056, 0.891643, 1.39123" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.15649, 1.15767, 1.17039, 1.21681, 1.33298, 1.5924, 2.13454" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.10782, 1.10962, 1.12174, 1.16978, 1.28466, 1.54392, 2.08511" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.468198, 0.466677, 0.477813, 0.511814, 0.603921, 0.820319, 1.29237" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.69338, 0.695312, 0.707501, 0.748971, 0.857044, 1.09298, 1.59201" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.66871, 0.667371, 0.678014, 0.711472, 0.803883, 1.02014, 1.49231" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491817, 0.494526, 0.507008, 0.549437, 0.655727, 0.892332, 1.3919" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.10331, 1.10229, 1.11459, 1.16224, 1.27876, 1.54077, 2.08781" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.938168, 0.937446, 0.949728, 0.997622, 1.11383, 1.37564, 1.92252" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476122, 0.475237, 0.486335, 0.520244, 0.612316, 0.828851, 1.30076" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.681802, 0.683555, 0.694818, 0.737359, 0.84542, 1.08144, 1.58072" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671065, 0.670509, 0.681098, 0.714477, 0.806938, 1.02356, 1.49523" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.485706, 0.488496, 0.500081, 0.543354, 0.649671, 0.886374, 1.38622" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.450816, 0.450747, 0.459507, 0.495475, 0.587709, 0.804648, 1.27674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.71558, 0.716584, 0.729089, 0.773801, 0.879093, 1.11603, 1.61698" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.66309, 0.662138, 0.670412, 0.706192, 0.798507, 1.01598, 1.48776" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502574, 0.504774, 0.517214, 0.561757, 0.666266, 0.904101, 1.40569" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.12628, 1.12677, 1.13702, 1.17766, 1.28247, 1.51735, 2.01374" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.899196, 0.900913, 0.911253, 0.953041, 1.05667, 1.29169, 1.78864" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.450546, 0.450177, 0.459166, 0.495117, 0.587543, 0.804706, 1.27709" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.717212, 0.71826, 0.730244, 0.772156, 0.880974, 1.11766, 1.61837" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.66427, 0.663132, 0.671488, 0.707319, 0.799881, 1.01757, 1.48957" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502633, 0.504634, 0.516934, 0.558858, 0.666469, 0.904149, 1.40567" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.18206, 1.18424, 1.19356, 1.23431, 1.33877, 1.57377, 2.07076" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.905705, 0.909132, 0.918213, 0.959933, 1.06385, 1.29873, 1.79657" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.661243; + rise_capacitance : 0.654612; + rise_capacitance_range (0.546795, 0.654612); + fall_capacitance : 0.661243; + fall_capacitance_range (0.589122, 0.661243); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.27789, -7.78787, -6.861, -8.01025, -6.1931, -8.13925, -12.0315", \ + "-8.62789, -8.13787, -7.211, -5.57003, -6.5431, -8.48925, -12.3815", \ + "-9.31568, -8.82565, -7.89879, -10.2553, -7.23089, -9.17704, -13.0693", \ + "-13.4131, -10.1524, -9.2255, -10.3125, -8.55761, -10.5038, -17.2461", \ + "-13.1004, -12.6103, -11.6835, -14.04, -11.0156, -12.9617, -16.854", \ + "-17.2346, -16.7445, -15.8177, -14.1767, -15.1498, -17.0959, -20.9882", \ + "-22.3758, -21.8858, -20.9589, -22.1484, -20.291, -22.2372, -26.1295" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.11725, -0.27335, 1.35162, 1.59912, 5.34498, 7.26533, 11.152", \ + "-1.4988, -0.654907, 0.970065, 3.96873, 4.96342, 6.88377, 10.7705", \ + "-2.25163, -1.40773, 0.21724, -0.781598, 4.2106, 6.13094, 10.0177", \ + "-6.46729, -6.86973, -5.24476, -0.9375, 2.7461, 4.66645, 5.70313", \ + "-10.478, -9.6341, -8.00913, -5.01046, -0.0182689, 1.90208, 5.7888", \ + "-11.3508, -10.5069, -8.88188, -5.88322, -4.88853, -2.96818, 0.918541", \ + "-18.4573, -17.6134, -15.9885, -15.8008, -11.9951, -10.0748, -6.18805" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.27789, -7.79177, -6.86881, -8.01025, -5.86526, -7.15571, -13.7341", \ + "-8.62789, -8.14177, -7.21881, -5.57003, -6.21526, -7.50571, -14.0841", \ + "-9.31568, -8.82956, -7.9066, -10.2553, -6.90305, -8.1935, -14.7719", \ + "-13.4131, -10.1563, -9.23331, -10.3125, -8.22976, -9.52022, -14.9512", \ + "-13.1004, -12.6143, -11.6913, -14.04, -10.6877, -11.9782, -18.5566", \ + "-17.2346, -16.7484, -15.8255, -14.1767, -14.8219, -16.1124, -18.6933", \ + "-22.3758, -21.8897, -20.9667, -22.1484, -19.9632, -21.2536, -27.832" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.44015, -0.740975, 0.608799, 4.34082, 7.34711, 10.4827, 10.9802", \ + "-2.22997, -1.5308, -0.181021, 2.32419, 6.55729, 9.69293, 10.1904", \ + "-3.76041, -3.06123, -1.71146, 0.793756, 5.02685, 8.16249, 8.65997", \ + "-4.92865, -1.9278, -0.578027, -0.78125, 2.16278, 5.29842, 6.9336", \ + "-7.56789, -6.86871, -5.51894, -3.01372, 1.21937, 4.35501, 4.8525", \ + "-10.3033, -9.60411, -8.25434, -5.74912, -5.51353, -2.37789, 2.11709", \ + "-15.1709, -14.4718, -17.1195, -13.4277, -10.3812, -7.24553, -6.74805" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.27789, -7.78787, -6.861, -8.01025, -5.86526, -7.15571, -12.0315", \ + "-8.62789, -8.13787, -7.211, -5.57003, -6.21526, -7.50571, -12.3815", \ + "-9.31568, -8.82565, -7.89879, -10.2553, -6.90305, -8.1935, -13.0693", \ + "-13.4131, -10.1524, -9.2255, -10.3125, -8.22976, -9.52022, -14.9512", \ + "-13.1004, -12.6103, -11.6835, -14.04, -10.6877, -11.9782, -16.854", \ + "-17.2346, -16.7445, -15.8177, -14.1767, -14.8219, -16.1124, -18.6933", \ + "-22.3758, -21.8858, -20.9589, -22.1484, -19.9632, -21.2536, -26.1295" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.11725, -0.27335, 1.35162, 4.34082, 7.34711, 10.4827, 11.152", \ + "-1.4988, -0.654907, 0.970065, 3.96873, 6.55729, 9.69293, 10.7705", \ + "-2.25163, -1.40773, 0.21724, 0.793756, 5.02685, 8.16249, 10.0177", \ + "-4.92865, -1.9278, -0.578027, -0.78125, 2.7461, 5.29842, 6.9336", \ + "-7.56789, -6.86871, -5.51894, -3.01372, 1.21937, 4.35501, 5.7888", \ + "-10.3033, -9.60411, -8.25434, -5.74912, -4.88853, -2.37789, 2.11709", \ + "-15.1709, -14.4718, -15.9885, -13.4277, -10.3812, -7.24553, -6.18805" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4556, 13.8995, 12.8427, 12.0605, 11.6031, 12.9075, 19.5139", \ + "14.8806, 14.3244, 13.2677, 11.3759, 12.0281, 13.3325, 19.9388", \ + "15.7166, 15.1605, 14.1037, 12.2119, 12.8641, 14.1685, 16.7774", \ + "18.4229, 16.7767, 15.7199, 15, 14.4803, 15.7847, 19.541", \ + "24.3393, 23.7832, 18.7289, 20.8347, 17.4894, 18.7938, 21.4026", \ + "29.464, 24.9104, 23.8536, 21.9619, 22.6141, 19.921, 26.5273", \ + "36.1398, 31.5862, 30.5295, 29.7559, 29.2899, 26.5968, 29.2056" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.3583, 15.4628, 9.73419, 7.62207, 5.02801, 1.60522, -0.94025", \ + "17.1071, 16.2115, 10.4829, 11.2587, 5.77676, 2.35397, -0.191502", \ + "18.5661, 17.6705, 11.942, 12.7177, 7.23578, 3.81299, 1.26752", \ + "18.4229, 16.4372, 14.7061, 12.6562, 9.99994, 6.57715, 1.18164", \ + "22.2455, 21.3499, 19.6189, 16.3971, 14.9127, 7.49239, 4.94692", \ + "29.6087, 28.7131, 22.9846, 23.7603, 18.2784, 14.8556, 12.3101", \ + "38.4836, 37.588, 31.8594, 29.7559, 27.1533, 23.7305, 21.185" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4556, 13.8995, 12.8427, 12.0605, 11.6031, 12.9075, 19.5139", \ + "14.8806, 14.3244, 13.2677, 11.3759, 12.0281, 13.3325, 15.9413", \ + "15.7166, 15.1605, 14.1037, 12.2119, 12.8641, 14.1685, 16.7774", \ + "18.4229, 16.7767, 15.7199, 15, 14.4803, 15.7847, 19.541", \ + "24.3393, 23.7832, 18.7289, 16.8372, 17.4894, 18.7938, 21.4026", \ + "29.464, 28.9079, 23.8536, 21.9619, 22.6141, 19.921, 26.5273", \ + "36.1398, 35.5837, 30.5295, 29.7559, 29.2899, 26.5968, 29.2056" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.51416, 8.6602, 7.01086, 5.03662, 2.75274, -0.378944, -2.14875", \ + "14.2486, 9.39719, 7.74785, 4.68352, 3.48973, 0.358045, -1.41176", \ + "15.6858, 10.8343, 9.185, 6.12067, 4.92688, 1.79519, 0.0253927", \ + "15.4858, 13.5613, 11.912, 10, 7.65386, 4.52218, -0.107427", \ + "19.28, 18.426, 16.7767, 13.7124, 12.5186, 5.38939, 3.61959", \ + "26.6524, 25.7984, 24.1491, 21.0847, 15.8935, 12.7618, 10.992", \ + "35.9665, 31.115, 29.4657, 27.5195, 25.2076, 22.0759, 20.3061" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4556, 13.8995, 12.8427, 12.0605, 11.6031, 12.9075, 19.5139", \ + "14.8806, 14.3244, 13.2677, 11.3759, 12.0281, 13.3325, 19.9388", \ + "15.7166, 15.1605, 14.1037, 12.2119, 12.8641, 14.1685, 16.7774", \ + "18.4229, 16.7767, 15.7199, 15, 14.4803, 15.7847, 19.541", \ + "24.3393, 23.7832, 18.7289, 20.8347, 17.4894, 18.7938, 21.4026", \ + "29.464, 28.9079, 23.8536, 21.9619, 22.6141, 19.921, 26.5273", \ + "36.1398, 35.5837, 30.5295, 29.7559, 29.2899, 26.5968, 29.2056" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.3583, 15.4628, 9.73419, 7.62207, 5.02801, 1.60522, -0.94025", \ + "17.1071, 16.2115, 10.4829, 11.2587, 5.77676, 2.35397, -0.191502", \ + "18.5661, 17.6705, 11.942, 12.7177, 7.23578, 3.81299, 1.26752", \ + "18.4229, 16.4372, 14.7061, 12.6562, 9.99994, 6.57715, 1.18164", \ + "22.2455, 21.3499, 19.6189, 16.3971, 14.9127, 7.49239, 4.94692", \ + "29.6087, 28.7131, 24.1491, 23.7603, 18.2784, 14.8556, 12.3101", \ + "38.4836, 37.588, 31.8594, 29.7559, 27.1533, 23.7305, 21.185" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0662554, -0.0654791, -0.0664621, -0.0657726, -0.0660402, -0.0660746, -0.0656245" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0672782, 0.0674095, 0.0675619, 0.0676132, 0.06808, 0.0677129, 0.0674425" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.100011, 0.100265, 0.101111, 0.1007, 0.100725, 0.100709, 0.100415" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0990672, -0.098758, -0.0988437, -0.0988998, -0.099905, -0.0989106, -0.0984365" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0661368, -0.0662414, -0.0664816, -0.0666404, -0.0658924, -0.0660903, -0.0658457" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.067545, 0.0672819, 0.0674083, 0.0677808, 0.0679908, 0.0677003, 0.0674402" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0599765, 0.0599951, 0.0600492, 0.0602134, 0.0596263, 0.0598281, 0.0596338" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0599765, -0.0596122, -0.0597052, -0.059951, -0.0596263, -0.0594697, -0.0590092" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0541194, -0.0573735, -0.0618762, -0.0640523, -0.0666893, -0.0671411, -0.0677413" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0716637, 0.0721074, 0.0716736, 0.0717087, 0.0724872, 0.0718778, 0.0716725" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0977677, 0.0951763, 0.0950153, 0.094363, 0.0948175, 0.0935927, 0.0930196" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0834992, -0.0868202, -0.0876138, -0.0886964, -0.0902999, -0.0898228, -0.0897379" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0515386, -0.0556931, -0.060034, -0.0633322, -0.0658315, -0.0663137, -0.0671868" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0714956, 0.0719646, 0.072274, 0.0718668, 0.0726608, 0.0718961, 0.0718733" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0975385, 0.0966878, 0.0961724, 0.0957934, 0.0954403, 0.0941802, 0.0937102" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0887621, -0.0898463, -0.0911566, -0.0905663, -0.091492, -0.0906541, -0.0905157" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0660233, -0.0660742, -0.065982, -0.0668355, -0.0660743, -0.0662599, -0.0658298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.068115, 0.0673508, 0.0681212, 0.0676645, 0.0685989, 0.0681217, 0.0679118" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0999756, 0.0999943, 0.100098, 0.100723, 0.100483, 0.100299, 0.0999423" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0983923, -0.0974232, -0.0983246, -0.0978681, -0.0992633, -0.0982003, -0.0979494" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0698596, -0.0691622, -0.0696907, -0.0704197, -0.0704773, -0.0694406, -0.0696067" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0737646, 0.0739617, 0.0746142, 0.0747858, 0.0748408, 0.0739195, 0.0733268" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0550088, 0.054258, 0.0548026, 0.0550349, 0.0549326, 0.0540994, 0.0539575" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0522483, -0.05225, -0.0527646, -0.0527102, -0.0527828, -0.0522214, -0.0520388" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378353, 0.374302, 0.377187, 0.395505, 0.457199, 0.619772, 0.991109" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.736811, 0.732405, 0.731104, 0.738774, 0.791489, 0.949818, 1.33231" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.734128, 0.730894, 0.73342, 0.750801, 0.812569, 0.974518, 1.34327" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373729, 0.369272, 0.367477, 0.376354, 0.428123, 0.587398, 0.970791" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.38183, 0.37799, 0.381029, 0.40103, 0.463793, 0.628096, 0.998308" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.707064, 0.703336, 0.702215, 0.714349, 0.769779, 0.934245, 1.32152" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.718995, 0.714801, 0.717945, 0.736628, 0.799761, 0.964238, 1.33164" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.361359, 0.357568, 0.357257, 0.368813, 0.423593, 0.589323, 0.976645" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.39641; + rise_capacitance : 1.3927; + rise_capacitance_range (1.23024, 1.3927); + fall_capacitance : 1.39641; + fall_capacitance_range (1.19329, 1.39641); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.91229, -1.48484, -0.647697, 2.93555, 3.73785, 7.88572, 10.3545", \ + "-2.82569, -2.39574, -1.55859, 0.0246521, 2.82696, 6.97482, 9.4436", \ + "-4.56499, -4.13504, -3.29789, -1.71465, 1.08766, 5.23552, 7.7043", \ + "-6.46729, -7.28367, -2.44903, -3.55469, 1.93652, 2.08689, 5.70313", \ + "-7.41159, -6.98163, -6.14449, -4.56124, -1.75894, 2.38893, 4.85771", \ + "-6.80752, -6.37756, -5.54042, -3.95717, -1.15487, 2.993, 5.46178", \ + "-5.59937, -5.16942, -4.33227, -1.56251, 0.0532747, 4.20114, 6.66992" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.0654, -11.9869, -7.84891, -10.4248, -8.5379, -12.2109, -17.9553", \ + "-12.821, -12.7424, -8.60445, -8.39012, -9.29344, -12.9664, -18.7109", \ + "-14.2948, -14.2163, -10.0783, -9.86396, -10.7673, -14.4403, -20.1847", \ + "-15.8667, -13.0174, -12.877, -15.3906, -13.566, -17.239, -21.8359", \ + "-18.0975, -18.0189, -17.8785, -17.6642, -18.5675, -18.2429, -23.9874", \ + "-25.7169, -25.6383, -21.5004, -25.2835, -22.1894, -25.8623, -31.6068", \ + "-35.4187, -31.3427, -31.2022, -33.8184, -31.8912, -35.5641, -37.3111" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.21783, -3.83081, -3.09833, -4.62891, -2.52716, -3.98223, -10.8899", \ + "-4.23052, -3.8435, -3.11102, -5.80981, -2.53985, -3.99492, -10.9026", \ + "-4.24931, -3.86229, -3.12981, -5.8286, -2.55864, -4.01371, -10.9214", \ + "-7.07031, -3.87354, -3.14106, -4.60938, -2.56988, -4.02496, -9.80468", \ + "-8.17518, -3.79066, -3.05818, -5.75697, -2.48701, -3.94208, -10.8497", \ + "-7.58798, -7.20096, -2.47098, -5.16977, -1.89981, -3.35489, -10.2625", \ + "-0.730289, -0.343268, 0.389212, -1.14258, 0.960386, -0.49469, -7.40234" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.37543, 2.21432, 3.83428, 4.0918, 7.93785, 11.0204, 12.5928", \ + "0.622658, 1.46154, 3.08151, 6.09019, 7.18507, 10.2676, 11.8401", \ + "-0.857479, -0.0185923, 1.60137, 4.61005, 5.70494, 8.7875, 10.3599", \ + "-6.46729, -2.87724, -1.25727, -0.9375, 2.84629, 5.92886, 9.29945", \ + "-9.0269, -8.18801, -6.56805, -3.55937, -2.46448, 4.61558, 6.18801", \ + "-18.0224, -17.1835, -15.5635, -12.5549, -7.46246, -4.3799, -2.80747", \ + "-29.5091, -28.6702, -27.0502, -26.0391, -22.9467, -19.8641, -18.2917" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.21783, -1.48484, -0.647697, 2.93555, 3.73785, 7.88572, 10.3545", \ + "-2.82569, -2.39574, -1.55859, 0.0246521, 2.82696, 6.97482, 9.4436", \ + "-4.24931, -3.86229, -3.12981, -1.71465, 1.08766, 5.23552, 7.7043", \ + "-6.46729, -3.87354, -2.44903, -3.55469, 1.93652, 2.08689, 5.70313", \ + "-7.41159, -3.79066, -3.05818, -4.56124, -1.75894, 2.38893, 4.85771", \ + "-6.80752, -6.37756, -2.47098, -3.95717, -1.15487, 2.993, 5.46178", \ + "-0.730289, -0.343268, 0.389212, -1.14258, 0.960386, 4.20114, 6.66992" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.37543, 2.21432, 3.83428, 4.0918, 7.93785, 11.0204, 12.5928", \ + "0.622658, 1.46154, 3.08151, 6.09019, 7.18507, 10.2676, 11.8401", \ + "-0.857479, -0.0185923, 1.60137, 4.61005, 5.70494, 8.7875, 10.3599", \ + "-6.46729, -2.87724, -1.25727, -0.9375, 2.84629, 5.92886, 9.29945", \ + "-9.0269, -8.18801, -6.56805, -3.55937, -2.46448, 4.61558, 6.18801", \ + "-18.0224, -17.1835, -15.5635, -12.5549, -7.46246, -4.3799, -2.80747", \ + "-29.5091, -28.6702, -27.0502, -26.0391, -22.9467, -19.8641, -18.2917" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.8461, 13.9491, 12.2142, 10.1294, 7.59386, 5.07655, -0.155035", \ + "19.2361, 18.3366, 12.6041, 13.3877, 7.98379, 5.46648, 0.234892", \ + "19.9809, 19.0814, 13.3489, 14.1325, 8.72859, 6.21128, 0.979696", \ + "18.4229, 16.4333, 14.6983, 12.6562, 10.078, 7.56068, 3.47656", \ + "21.2249, 20.3255, 18.5905, 15.3765, 9.97267, 7.45536, 6.22127", \ + "21.0143, 20.1148, 18.3798, 15.1659, 9.76201, 7.2447, 6.01061", \ + "20.593, 19.6935, 17.9585, 11.8652, 9.3407, 6.82338, 1.5918" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.3452, 15.8429, 14.8842, 14.2798, 13.8084, 15.0849, 21.6354", \ + "21.1529, 16.6506, 15.692, 17.9754, 14.6162, 15.8927, 22.4432", \ + "18.7268, 18.222, 17.2633, 15.5493, 16.1875, 17.464, 24.0146", \ + "22.7832, 21.1884, 20.2297, 19.6875, 19.1539, 20.4304, 24.1309", \ + "26.9202, 26.4154, 25.4567, 23.7427, 24.3809, 25.6574, 28.2104", \ + "30.5541, 30.0494, 29.0907, 27.3766, 28.0149, 29.2914, 35.8419", \ + "42.5217, 42.0169, 37.0607, 36.4649, 35.9849, 37.2614, 43.812" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.7471, 10.27, 9.36142, 8.79639, 8.08785, 8.8119, 14.2575", \ + "10.8558, 10.3788, 9.47016, 7.83457, 8.19659, 8.92064, 14.3662", \ + "11.0606, 10.5836, 9.67499, 8.0394, 8.40142, 9.12547, 14.5711", \ + "12.4707, 10.9427, 10.034, 9.53125, 8.76046, 9.48451, 12.0605", \ + "15.1231, 14.646, 13.7374, 12.1018, 8.46634, 9.19039, 14.636", \ + "14.5348, 14.0578, 13.1492, 11.5136, 7.87809, 8.60213, 14.0477", \ + "13.3583, 12.8813, 7.97515, 7.52286, 6.70158, 7.42563, 8.87373" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.3122, 9.38701, 7.603, 5.41682, 2.75306, 0.397442, -4.80624", \ + "15.0072, 10.0846, 8.30056, 4.99777, 3.45062, 1.09501, -4.10868", \ + "16.3963, 11.4736, 9.68961, 10.3843, 4.83967, 2.48406, -2.71963", \ + "16.2427, 18.2249, 16.4409, 10.3125, 7.59347, 5.23786, 1.18164", \ + "24.5605, 23.6353, 21.8513, 18.5485, 13.0039, 10.6483, 5.44459", \ + "34.9925, 34.0674, 32.2834, 28.9806, 23.4359, 21.0803, 15.8766", \ + "50.304, 49.3788, 47.5948, 45.4102, 42.7448, 36.3917, 31.188" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.8461, 13.9491, 12.2142, 10.1294, 8.08785, 8.8119, 14.2575", \ + "19.2361, 18.3366, 12.6041, 13.3877, 8.19659, 8.92064, 14.3662", \ + "19.9809, 19.0814, 13.3489, 14.1325, 8.72859, 9.12547, 14.5711", \ + "18.4229, 16.4333, 14.6983, 12.6562, 10.078, 9.48451, 12.0605", \ + "21.2249, 20.3255, 18.5905, 15.3765, 9.97267, 9.19039, 14.636", \ + "21.0143, 20.1148, 18.3798, 15.1659, 9.76201, 8.60213, 14.0477", \ + "20.593, 19.6935, 17.9585, 11.8652, 9.3407, 7.42563, 8.87373" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.3452, 15.8429, 14.8842, 14.2798, 13.8084, 15.0849, 21.6354", \ + "21.1529, 16.6506, 15.692, 17.9754, 14.6162, 15.8927, 22.4432", \ + "18.7268, 18.222, 17.2633, 15.5493, 16.1875, 17.464, 24.0146", \ + "22.7832, 21.1884, 20.2297, 19.6875, 19.1539, 20.4304, 24.1309", \ + "26.9202, 26.4154, 25.4567, 23.7427, 24.3809, 25.6574, 28.2104", \ + "34.9925, 34.0674, 32.2834, 28.9806, 28.0149, 29.2914, 35.8419", \ + "50.304, 49.3788, 47.5948, 45.4102, 42.7448, 37.2614, 43.812" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0271156, -0.0274687, -0.0167507, 0.0218166, 0.120957, 0.337224, 0.789169" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344263, 0.34354, 0.356739, 0.400903, 0.508365, 0.737771, 1.21006" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342366, 0.341283, 0.352736, 0.389852, 0.491389, 0.708533, 1.16021" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0455276, -0.0428693, -0.0249065, 0.0220379, 0.131701, 0.362354, 0.83689" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0637604, -0.06249, -0.0489673, -0.00717772, 0.0919174, 0.309675, 0.761754" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.345187, 0.349887, 0.368892, 0.419247, 0.528556, 0.760921, 1.23573" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327951, 0.32871, 0.342976, 0.384601, 0.485139, 0.701778, 1.15343" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0428479, -0.0383704, -0.0193701, 0.0290598, 0.139442, 0.370589, 0.845614" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0960248, 0.0983335, 0.111637, 0.154196, 0.268595, 0.539285, 1.12738" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.399726, 0.404518, 0.432899, 0.507019, 0.66498, 0.990763, 1.63599" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.393754, 0.394864, 0.407784, 0.450901, 0.567085, 0.836607, 1.4235" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0893983, 0.0947173, 0.123251, 0.196559, 0.355325, 0.678496, 1.32186" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.650114, 0.650904, 0.664413, 0.70299, 0.800996, 1.0199, 1.47513" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.76439, 0.763924, 0.779841, 0.827082, 0.93669, 1.16908, 1.6518" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.82036, 0.820078, 0.834007, 0.872761, 0.971517, 1.191, 1.64543" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.58747, 0.587422, 0.603642, 0.650551, 0.760127, 0.992164, 1.47554" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369388, 0.368651, 0.383349, 0.443615, 0.608081, 0.993468, 1.82418" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.882202, 0.88497, 0.907441, 0.979366, 1.16669, 1.59313, 2.48817" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.868272, 0.867492, 0.879927, 0.941568, 1.10609, 1.49251, 2.32175" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.383822, 0.387357, 0.409411, 0.481652, 0.667923, 1.09479, 1.99133" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0529993, -0.0515321, -0.0380549, 0.00344707, 0.102685, 0.319543, 0.771389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337423, 0.341718, 0.360022, 0.410344, 0.519775, 0.751528, 1.22597" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338252, 0.340295, 0.354829, 0.394386, 0.496377, 0.712741, 1.16356" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0504847, -0.0463827, -0.0272672, 0.0202149, 0.130157, 0.361925, 0.836311" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654356; + rise_capacitance : 0.649144; + rise_capacitance_range (0.528394, 0.649144); + fall_capacitance : 0.654356; + fall_capacitance_range (0.54922, 0.654356); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.11871, -4.68022, -3.85058, -5.19043, -3.22819, -4.92322, -8.31328", \ + "-5.48092, -5.04243, -4.21279, -6.74038, -3.5904, -5.28543, -8.67549", \ + "-6.18196, -5.74347, -4.91383, -7.44142, -8.28894, -5.98647, -9.37653", \ + "-10.2808, -7.05205, -6.22241, -7.5, -5.60002, -7.29505, -13.5449", \ + "-9.73368, -9.29519, -8.46555, -6.99564, -7.84315, -9.53819, -12.9283", \ + "-13.7293, -13.2908, -12.4611, -10.9912, -11.8387, -9.53626, -12.9263", \ + "-16.7175, -12.2815, -11.4519, -12.8125, -10.8295, -12.5245, -15.9146" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.62207, -1.77817, -0.153202, 4.0918, 7.83765, 9.758, 9.64722", \ + "-3.37484, -2.53095, -0.905974, 2.09269, 7.08488, 9.00523, 8.89445", \ + "-4.85498, -4.01108, -2.38611, 0.612551, 5.60475, 7.52509, 7.41431", \ + "-6.46729, -6.86973, -5.24476, -0.9375, 2.7461, 4.66645, 5.70313", \ + "-9.0269, -8.183, -6.55803, -7.55687, -2.56467, -0.644326, 3.2424", \ + "-18.0224, -17.1785, -15.5535, -12.5549, -11.5602, -5.64231, -5.75309", \ + "-29.5091, -28.6652, -27.0402, -26.0391, -23.0469, -21.1265, -17.2398" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.13238, -3.75908, -3.05253, -4.62891, -2.49786, -3.89434, -10.6848", \ + "-4.51445, -4.14115, -3.4346, -2.18169, -2.87993, -4.27641, -11.0669", \ + "-5.25419, -4.88089, -4.17434, -6.91893, -3.61967, -5.01615, -7.80912", \ + "-9.4458, -6.26274, -5.55619, -7.07031, -5.00152, -6.39801, -12.0605", \ + "-9.00926, -8.63596, -7.9294, -6.6765, -7.37474, -8.77122, -11.5642", \ + "-12.1937, -11.8204, -11.1139, -9.86095, -10.5592, -11.9557, -14.7486", \ + "-16.3123, -15.939, -15.2324, -12.8125, -10.6802, -12.0767, -18.8672" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.71674, 2.93522, 5.27491, 6.79443, 10.3627, 11.9578, 11.1506", \ + "1.11934, 2.33783, 4.67751, 4.97023, 9.76531, 11.3605, 10.5532", \ + "-0.0546782, 1.16381, 3.50349, 3.79621, 8.59128, 10.1864, 9.37922", \ + "-5.10986, -1.10115, 1.23853, 3.53125, 6.32632, 7.92147, 8.24219", \ + "-6.51724, -5.29875, -2.95907, -2.66635, 2.12873, 3.72387, 6.91416", \ + "-13.5831, -12.3647, -10.025, -9.73225, -4.93718, -3.34203, -0.151738", \ + "-22.3978, -21.1793, -18.8396, -16.5469, -13.7518, -12.1567, -8.96637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.13238, -3.75908, -3.05253, -4.62891, -2.49786, -3.89434, -8.31328", \ + "-4.51445, -4.14115, -3.4346, -2.18169, -2.87993, -4.27641, -8.67549", \ + "-5.25419, -4.88089, -4.17434, -6.91893, -3.61967, -5.01615, -7.80912", \ + "-9.4458, -6.26274, -5.55619, -7.07031, -5.00152, -6.39801, -12.0605", \ + "-9.00926, -8.63596, -7.9294, -6.6765, -7.37474, -8.77122, -11.5642", \ + "-12.1937, -11.8204, -11.1139, -9.86095, -10.5592, -9.53626, -12.9263", \ + "-16.3123, -12.2815, -11.4519, -12.8125, -10.6802, -12.0767, -15.9146" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.71674, 2.93522, 5.27491, 6.79443, 10.3627, 11.9578, 11.1506", \ + "1.11934, 2.33783, 4.67751, 4.97023, 9.76531, 11.3605, 10.5532", \ + "-0.0546782, 1.16381, 3.50349, 3.79621, 8.59128, 10.1864, 9.37922", \ + "-5.10986, -1.10115, 1.23853, 3.53125, 6.32632, 7.92147, 8.24219", \ + "-6.51724, -5.29875, -2.95907, -2.66635, 2.12873, 3.72387, 6.91416", \ + "-13.5831, -12.3647, -10.025, -9.73225, -4.93718, -3.34203, -0.151738", \ + "-22.3978, -21.1793, -18.8396, -16.5469, -13.7518, -12.1567, -8.96637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0533, 10.0706, 9.39697, 8.81121, 9.81986, 15.8347", \ + "12.0005, 11.484, 10.5013, 8.73757, 9.24189, 10.2505, 16.2653", \ + "16.8352, 12.3212, 11.3385, 13.5722, 10.0791, 11.0877, 17.1025", \ + "15.4858, 13.8988, 12.9161, 12.3047, 11.6567, 12.6653, 15.8203", \ + "21.1809, 16.6669, 15.6842, 13.9205, 14.4248, 15.4335, 17.4508", \ + "21.1716, 20.6551, 19.6724, 17.9087, 18.413, 15.4241, 17.4414", \ + "26.9528, 26.4363, 21.4561, 20.8106, 20.1967, 17.2079, 19.2252" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3608, 11.4653, 9.73419, 7.62207, 5.02801, 1.60522, -0.94025", \ + "13.0859, 12.1904, 10.4593, 7.23755, 5.75311, 2.33032, -0.21515", \ + "14.5213, 13.6257, 11.8947, 8.67293, 7.18849, 3.7657, 1.22023", \ + "18.4229, 16.4372, 14.7061, 12.6562, 9.99994, 6.57715, 1.18164", \ + "22.7185, 21.8229, 20.0918, 20.8676, 15.3856, 11.9628, 9.41736", \ + "32.541, 31.6454, 29.9144, 26.6926, 25.2082, 21.7854, 15.2424", \ + "48.3908, 47.4952, 45.7641, 44.1473, 41.058, 37.6352, 31.0922" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.6345, 10.1751, 9.3002, 8.79639, 8.08646, 8.80772, 14.2477", \ + "11.0789, 10.6195, 9.74457, 8.1702, 8.53083, 9.25209, 14.6921", \ + "11.943, 11.4836, 10.6087, 9.03432, 9.39495, 10.1162, 15.5562", \ + "14.6748, 13.1134, 12.2384, 11.7969, 11.0247, 11.746, 14.3164", \ + "16.4383, 15.9789, 15.104, 13.5296, 13.8902, 14.6115, 16.054", \ + "20.5936, 20.1342, 19.2593, 17.6849, 14.048, 14.7693, 20.2093", \ + "26.5986, 26.1392, 25.2643, 20.8106, 20.053, 20.7743, 22.2168" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.10425, 7.971, 5.78948, 2.85644, -0.92272, -1.97737, -6.969", \ + "9.74006, 8.60681, 6.42529, 2.40216, -0.286913, -1.34156, -6.33319", \ + "10.9996, 9.86639, 7.68487, 7.65925, 0.972673, -0.0819741, -5.07361", \ + "14.4707, 12.3375, 10.1559, 7.26562, 7.44124, 2.38909, -1.47461", \ + "18.2204, 17.0871, 14.9056, 14.88, 12.1909, 7.13877, 2.14714", \ + "26.95, 25.8167, 23.6352, 23.6096, 16.923, 15.8684, 10.8767", \ + "37.3326, 36.1993, 38.0153, 35.9922, 31.3031, 26.251, 21.2593" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0533, 10.0706, 9.39697, 8.81121, 9.81986, 15.8347", \ + "12.0005, 11.484, 10.5013, 8.73757, 9.24189, 10.2505, 16.2653", \ + "16.8352, 12.3212, 11.3385, 13.5722, 10.0791, 11.0877, 17.1025", \ + "15.4858, 13.8988, 12.9161, 12.3047, 11.6567, 12.6653, 15.8203", \ + "21.1809, 16.6669, 15.6842, 13.9205, 14.4248, 15.4335, 17.4508", \ + "21.1716, 20.6551, 19.6724, 17.9087, 18.413, 15.4241, 20.2093", \ + "26.9528, 26.4363, 25.2643, 20.8106, 20.1967, 20.7743, 22.2168" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3608, 11.4653, 9.73419, 7.62207, 5.02801, 1.60522, -0.94025", \ + "13.0859, 12.1904, 10.4593, 7.23755, 5.75311, 2.33032, -0.21515", \ + "14.5213, 13.6257, 11.8947, 8.67293, 7.18849, 3.7657, 1.22023", \ + "18.4229, 16.4372, 14.7061, 12.6562, 9.99994, 6.57715, 1.18164", \ + "22.7185, 21.8229, 20.0918, 20.8676, 15.3856, 11.9628, 9.41736", \ + "32.541, 31.6454, 29.9144, 26.6926, 25.2082, 21.7854, 15.2424", \ + "48.3908, 47.4952, 45.7641, 44.1473, 41.058, 37.6352, 31.0922" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0614402, -0.0650424, -0.0683513, -0.0688616, -0.0711423, -0.0708414, -0.0710751" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0741317, 0.0748995, 0.0748963, 0.0751151, 0.0750397, 0.0754007, 0.0752927" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0932264, 0.0905727, 0.0896468, 0.087618, 0.0883323, 0.0872363, 0.086625" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0786073, -0.0796584, -0.08138, -0.0824622, -0.0827291, -0.0831456, -0.0829753" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0117534, -0.0116971, -0.0118276, -0.0118581, -0.0117532, -0.0116532, -0.0115531" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.012252, 0.012169, 0.0123046, 0.0122631, 0.0123445, 0.0123018, 0.0122621" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0994754, 0.0999964, 0.100617, 0.100837, 0.100712, 0.0998623, 0.0995732" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0964425, -0.0959812, -0.0968345, -0.0962122, -0.0973693, -0.0963188, -0.0958074" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0626747, -0.0658434, -0.0680276, -0.0699555, -0.0701812, -0.0706628, -0.0708484" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0748992, 0.0753691, 0.0750686, 0.0753183, 0.0756724, 0.075725, 0.0755116" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0932028, 0.0911027, 0.0898739, 0.0895346, 0.0884008, 0.0878958, 0.0872874" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0834312, -0.0838452, -0.0837768, -0.084059, -0.0839953, -0.0840716, -0.0836844" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0747253, -0.0752679, -0.0754907, -0.0749567, -0.0749547, -0.0751364, -0.074926" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0753063, 0.0755811, 0.0758371, 0.0763404, 0.0766309, 0.0764724, 0.0762898" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0401736, 0.0401969, 0.0398686, 0.0398616, 0.0396857, 0.0397255, 0.0395913" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0401736, -0.0397447, -0.0395266, -0.0396975, -0.0395049, -0.039077, -0.0385735" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.350094, 0.34668, 0.350066, 0.367521, 0.427832, 0.578059, 0.912255" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.635287, 0.630127, 0.630713, 0.647439, 0.70948, 0.868686, 1.21644" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.607229, 0.604715, 0.607602, 0.624376, 0.68556, 0.835725, 1.16873" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376257, 0.371438, 0.371568, 0.388669, 0.450716, 0.609723, 0.958185" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00853197, -0.00852621, -0.00859763, -0.00873687, -0.00865505, -0.00870796, -0.00871799" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0106262, 0.0106529, 0.0106466, 0.0103838, 0.0104579, 0.0104031, 0.0103283" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0993659, 0.0989861, 0.0993034, 0.0995278, 0.0990986, 0.099107, 0.098692" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0960125, -0.0958943, -0.0960785, -0.096149, -0.0969686, -0.0958629, -0.0955635" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.34617, 0.343402, 0.347871, 0.369116, 0.437162, 0.599839, 0.954823" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.57437, 0.570805, 0.573012, 0.592855, 0.661362, 0.83411, 1.20438" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564187, 0.561103, 0.565261, 0.587121, 0.655361, 0.818016, 1.17161" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.354355, 0.350395, 0.352923, 0.372502, 0.44093, 0.613949, 0.985043" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0812975, -0.0815448, -0.0821258, -0.0815544, -0.0827773, -0.0816605, -0.0817751" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0850815, 0.0852986, 0.0853423, 0.0862142, 0.0865372, 0.0856695, 0.0852424" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0321019, 0.0318692, 0.0316643, 0.0312282, 0.0313679, 0.0309593, 0.0308032" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0294801, -0.0302145, -0.0291538, -0.0297617, -0.0296828, -0.0291873, -0.0290409" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx1_ASAP7_75t_L) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 1814.96; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1541.08; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1832.34; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1906.51; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1912.41; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1638.52; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1913.9; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1640.01; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1812.74; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1538.85; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1641.17; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1715.34; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1939.93; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2014.1; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1748.76; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1822.93; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1188.69; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1557.89; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1324.29; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1394.66; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1296.07; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1665.48; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1293.6; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1663.25; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1186.46; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1555.66; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1322.06; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1372.4; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1431.88; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1502.25; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1429.65; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1480; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1596.81; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "28.0718, 30.755, 35.3557, 43.2398, 57.1605, 83.6702, 136.232", \ + "29.4512, 32.1279, 36.7283, 44.6128, 58.5332, 85.0434, 137.606", \ + "32.0268, 34.7069, 39.3032, 47.1872, 61.1082, 87.6196, 140.183", \ + "36.1773, 38.8593, 43.4494, 51.332, 65.2418, 91.7713, 144.327", \ + "42.2531, 44.9252, 49.5144, 57.4219, 71.3421, 97.8981, 150.423", \ + "51.6749, 54.3447, 58.9336, 66.8214, 80.7562, 107.267, 160.015", \ + "66.3586, 69.0315, 73.628, 81.5388, 95.4973, 122.036, 174.643" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4125, 15.4999, 23.0055, 37.327, 66.1415, 124.871, 244.272", \ + "11.4069, 15.5019, 23.0073, 37.3283, 66.1453, 124.872, 244.272", \ + "11.4086, 15.4982, 23.0128, 37.332, 66.1474, 124.872, 244.272", \ + "11.4757, 15.5603, 23.0802, 37.3738, 66.1624, 124.875, 244.27", \ + "11.5634, 15.6649, 23.2154, 37.5049, 66.216, 124.934, 244.288", \ + "11.7664, 15.8406, 23.3017, 37.6768, 66.6904, 124.914, 244.479", \ + "12.2106, 16.2398, 23.6656, 37.8954, 66.5138, 125.065, 245.014" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "28.8514, 31.6545, 36.4079, 44.0862, 57.1156, 81.1871, 128.309", \ + "30.2402, 33.0377, 37.7937, 45.4674, 58.4975, 82.5695, 129.694", \ + "32.8209, 35.6194, 40.3773, 48.0536, 61.0799, 85.1502, 132.274", \ + "36.7465, 39.5555, 44.3055, 51.9746, 65.0021, 89.0746, 136.198", \ + "42.6861, 45.4781, 50.2216, 57.8852, 70.8984, 94.9686, 142.091", \ + "51.8057, 54.581, 59.3069, 66.9547, 79.951, 104.025, 151.167", \ + "65.8772, 68.621, 73.296, 80.9014, 93.8719, 117.938, 165.051" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.1609, 14.8993, 21.5621, 33.9091, 58.1136, 107.271, 207.869", \ + "11.1606, 14.8989, 21.5644, 33.9091, 58.111, 107.26, 207.865", \ + "11.1616, 14.8992, 21.5657, 33.9117, 58.1122, 107.255, 207.866", \ + "11.1878, 14.9036, 21.5628, 33.9173, 58.1227, 107.277, 207.868", \ + "11.1921, 14.9156, 21.5789, 33.9579, 58.1142, 107.273, 207.898", \ + "11.2541, 14.9475, 21.5771, 33.8847, 58.0946, 107.642, 207.876", \ + "11.4157, 15.036, 21.5935, 33.8774, 58.0477, 107.367, 208.26" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.842008, 0.830917, 0.824852, 0.822425, 0.821832, 0.82184, 0.8217", \ + "0.841204, 0.830021, 0.823834, 0.821492, 0.820889, 0.820827, 0.820902", \ + "0.847714, 0.836874, 0.830186, 0.827608, 0.827249, 0.827329, 0.82739", \ + "0.877803, 0.866488, 0.858924, 0.856091, 0.855565, 0.855676, 0.855683", \ + "0.952817, 0.94012, 0.932962, 0.93137, 0.927996, 0.926955, 0.926909", \ + "1.12051, 1.10646, 1.09688, 1.10241, 1.09653, 1.08936, 1.1015", \ + "1.47288, 1.45796, 1.44533, 1.44041, 1.43755, 1.43462, 1.45818" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.896548, 0.881607, 0.86986, 0.864811, 0.862678, 0.861809, 0.861003", \ + "0.896384, 0.880915, 0.869446, 0.864163, 0.862021, 0.860934, 0.860506", \ + "0.905198, 0.889834, 0.8785, 0.873058, 0.870917, 0.869944, 0.86938", \ + "0.935424, 0.918903, 0.907542, 0.902752, 0.90066, 0.899539, 0.899015", \ + "1.00972, 0.992864, 0.981223, 0.97555, 0.973745, 0.972538, 0.972626", \ + "1.17413, 1.15818, 1.14449, 1.13817, 1.13636, 1.13444, 1.13402", \ + "1.51724, 1.49982, 1.4866, 1.48071, 1.47767, 1.47651, 1.47649" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.703802, 0.692749, 0.686709, 0.684301, 0.683697, 0.683768, 0.683552", \ + "0.703462, 0.692272, 0.68607, 0.683704, 0.68311, 0.683101, 0.683187", \ + "0.710387, 0.699539, 0.692813, 0.690194, 0.689786, 0.689876, 0.689911", \ + "0.741528, 0.730241, 0.723336, 0.720126, 0.719597, 0.719655, 0.719735", \ + "0.815547, 0.802984, 0.795447, 0.79153, 0.791046, 0.791626, 0.791271", \ + "0.982623, 0.968458, 0.959604, 0.955668, 0.95352, 0.953385, 0.953109", \ + "1.33544, 1.32051, 1.30808, 1.30122, 1.29853, 1.29811, 1.29853" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.730625, 0.715675, 0.703944, 0.698934, 0.696813, 0.695883, 0.695223", \ + "0.73093, 0.715485, 0.70404, 0.69875, 0.69664, 0.695579, 0.695103", \ + "0.7406, 0.725252, 0.713936, 0.708502, 0.706392, 0.705412, 0.70488", \ + "0.769511, 0.754522, 0.743274, 0.737254, 0.73427, 0.733479, 0.732924", \ + "0.845015, 0.828232, 0.817624, 0.812142, 0.808005, 0.806301, 0.803506", \ + "1.00891, 0.993713, 0.981201, 0.975116, 0.9795, 0.990828, 0.970568", \ + "1.35222, 1.33537, 1.32176, 1.31894, 1.31844, 1.32674, 1.32063" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.518389; + rise_capacitance : 0.51827; + rise_capacitance_range (0.420291, 0.51827); + fall_capacitance : 0.518389; + fall_capacitance_range (0.411454, 0.518389); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.9727, 21.9727, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.530036, 0.529457, 0.536366, 0.563317, 0.625194, 0.772919, 1.0904" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.719416, 0.718076, 0.724541, 0.750635, 0.812764, 0.960908, 1.27771" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326848, 0.325157, 0.331817, 0.355458, 0.417328, 0.562475, 0.877058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476822, 0.475227, 0.484082, 0.511315, 0.581835, 0.739117, 1.07307" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484897, 0.4837, 0.48957, 0.513187, 0.575656, 0.720191, 1.03489" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.31891, 0.316881, 0.326224, 0.353265, 0.423411, 0.580805, 0.915081" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342444, 0.340694, 0.348447, 0.372204, 0.433845, 0.578761, 0.893539" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.461602, 0.460327, 0.468297, 0.496571, 0.566903, 0.724797, 1.05795" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.48487, 0.483395, 0.49061, 0.514029, 0.5763, 0.720945, 1.03568" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318482, 0.317083, 0.325549, 0.354029, 0.423673, 0.582094, 0.915325" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.602294, 0.600841, 0.607386, 0.633401, 0.702484, 0.860407, 1.2056" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.691786, 0.690045, 0.696261, 0.721844, 0.790607, 0.949219, 1.29466" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.534478, 0.533247, 0.540257, 0.565456, 0.629045, 0.775656, 1.09269" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.804486, 0.803154, 0.809671, 0.833911, 0.898365, 1.04456, 1.36099" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321772, 0.319964, 0.326647, 0.352219, 0.412087, 0.557123, 0.871603" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483108, 0.481464, 0.490284, 0.517556, 0.588089, 0.745355, 1.07935" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482815, 0.481456, 0.487347, 0.513133, 0.573338, 0.717786, 1.03224" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321605, 0.319596, 0.328892, 0.355977, 0.426133, 0.583529, 0.917942" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.533429, 0.533434, 0.539904, 0.565267, 0.62802, 0.775062, 1.09203" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.835013, 0.834102, 0.839871, 0.864413, 0.927655, 1.07438, 1.39064" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321981, 0.320278, 0.327037, 0.35141, 0.412327, 0.556941, 0.871918" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482957, 0.481306, 0.490251, 0.517544, 0.588148, 0.746159, 1.0794" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483154, 0.482212, 0.488202, 0.512556, 0.574009, 0.718075, 1.03299" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321069, 0.319231, 0.328614, 0.35579, 0.42595, 0.58411, 0.917715" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.52966, 0.530239, 0.536254, 0.563161, 0.62494, 0.772366, 1.09067" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.719122, 0.718522, 0.724281, 0.750309, 0.812281, 0.959925, 1.27812" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326869, 0.325161, 0.331894, 0.355427, 0.417273, 0.562466, 0.877115" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476704, 0.475102, 0.484073, 0.511329, 0.581936, 0.739945, 1.07317" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484929, 0.48368, 0.489644, 0.512528, 0.575565, 0.720179, 1.03493" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318602, 0.316746, 0.326177, 0.353327, 0.423495, 0.581671, 0.915206" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340793, 0.338994, 0.34688, 0.370082, 0.432316, 0.577283, 0.892129" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.461812, 0.460728, 0.467232, 0.496766, 0.56835, 0.724929, 1.05758" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482763, 0.481258, 0.488591, 0.510985, 0.574318, 0.719005, 1.03377" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319621, 0.318056, 0.32541, 0.354893, 0.425538, 0.582615, 0.91568" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.55159, 0.549944, 0.556805, 0.583869, 0.652855, 0.813756, 1.16344" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.681163, 0.680012, 0.68642, 0.713192, 0.781669, 0.943567, 1.29337" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337398, 0.335522, 0.343462, 0.367152, 0.428797, 0.573728, 0.88851" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.466535, 0.465385, 0.473335, 0.501654, 0.571846, 0.729946, 1.06306" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.477754, 0.476222, 0.483553, 0.506897, 0.569183, 0.713857, 1.02865" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325992, 0.324208, 0.332652, 0.361192, 0.430719, 0.589184, 0.922474" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.597789, 0.596062, 0.602561, 0.628568, 0.697806, 0.855378, 1.20039" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.678025, 0.676557, 0.682657, 0.708348, 0.777245, 0.935533, 1.28086" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.612601; + rise_capacitance : 0.607318; + rise_capacitance_range (0.505532, 0.607318); + fall_capacitance : 0.612601; + fall_capacitance_range (0.544754, 0.612601); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.49951, 5.354, 11.0275, 11.438, 16.1633, 25.8801, 36.8762", \ + "4.26374, 5.11823, 10.7918, 14.012, 15.9276, 25.6444, 36.6405", \ + "3.81365, 4.66814, 10.3417, 13.5619, 15.4775, 25.1943, 36.1904", \ + "4.20654, 3.85376, 9.52729, 10, 18.6606, 24.3799, 36.5137", \ + "3.08646, 3.94095, 5.61698, 12.8347, 18.7478, 24.4671, 39.4607", \ + "3.26084, 4.11534, 5.79136, 13.0091, 18.9222, 24.6415, 39.6351", \ + "3.60961, 4.46411, 10.1376, 10.5273, 19.2709, 28.9877, 43.9813" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.69849, -4.41254, -3.83866, -5.47363, -0.479677, 3.77414, 11.5109", \ + "-9.08881, -8.80037, -4.22898, -3.09326, -0.870003, 3.38381, 7.12311", \ + "-9.85843, -9.56998, -8.9961, -3.86288, -1.63962, -1.3833, 6.35349", \ + "-10.3535, -11.0651, -10.4912, -8.08594, -3.13471, 1.11911, 5.98633", \ + "-14.1671, -9.88117, -9.30728, -8.17157, -5.9483, -1.69449, 6.04231", \ + "-19.088, -14.802, -14.2282, -13.0924, -10.8692, -6.61537, 1.12143", \ + "-26.1045, -25.8161, -21.2447, -22.9199, -17.8857, -17.6294, -9.89258" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.3725, 9.61853, 12.0432, 13.8135, 20.708, 28.5645, 39.0271", \ + "8.14714, 9.39317, 11.8179, 12.4004, 20.4827, 28.3391, 38.8017", \ + "7.72524, 8.97127, 11.396, 11.9785, 20.0608, 27.9172, 38.3798", \ + "4.20654, 8.2428, 10.6675, 12.5, 19.3323, 27.1888, 38.7891", \ + "3.8486, 5.09463, 11.5168, 12.0993, 20.1816, 28.0381, 42.4982", \ + "5.54726, 6.79329, 9.21799, 13.798, 21.8803, 29.7367, 44.1969", \ + "8.94457, 10.1906, 12.6153, 19.1953, 25.2776, 33.1341, 47.5942" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.89459, -2.30795, -1.16625, -1.81885, 0.802701, 6.4012, 13.5153", \ + "-7.37323, -2.78909, -5.64489, -3.48773, 0.321561, 5.92006, 13.0342", \ + "-8.31771, -7.73108, -6.58937, -4.43221, -0.622923, 4.97557, 12.0897", \ + "-8.94775, -9.54886, -8.40716, -5, -2.44071, 3.15779, 7.39258", \ + "-9.48884, -8.9022, -7.7605, -5.60334, -5.79155, -0.193052, 6.92108", \ + "-15.0516, -14.465, -13.3232, -11.1661, -11.3543, -5.75581, 1.35833", \ + "-21.6214, -21.0348, -19.8931, -20.5469, -17.9241, -12.3256, -5.21148" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.3725, 9.61853, 12.0432, 13.8135, 20.708, 28.5645, 39.0271", \ + "8.14714, 9.39317, 11.8179, 14.012, 20.4827, 28.3391, 38.8017", \ + "7.72524, 8.97127, 11.396, 13.5619, 20.0608, 27.9172, 38.3798", \ + "4.20654, 8.2428, 10.6675, 12.5, 19.3323, 27.1888, 38.7891", \ + "3.8486, 5.09463, 11.5168, 12.8347, 20.1816, 28.0381, 42.4982", \ + "5.54726, 6.79329, 9.21799, 13.798, 21.8803, 29.7367, 44.1969", \ + "8.94457, 10.1906, 12.6153, 19.1953, 25.2776, 33.1341, 47.5942" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.89459, -2.30795, -1.16625, -1.81885, 0.802701, 6.4012, 13.5153", \ + "-7.37323, -2.78909, -4.22898, -3.09326, 0.321561, 5.92006, 13.0342", \ + "-8.31771, -7.73108, -6.58937, -3.86288, -0.622923, 4.97557, 12.0897", \ + "-8.94775, -9.54886, -8.40716, -5, -2.44071, 3.15779, 7.39258", \ + "-9.48884, -8.9022, -7.7605, -5.60334, -5.79155, -0.193052, 6.92108", \ + "-15.0516, -14.465, -13.3232, -11.1661, -10.8692, -5.75581, 1.35833", \ + "-21.6214, -21.0348, -19.8931, -20.5469, -17.8857, -12.3256, -5.21148" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.92719, 5.77748, 3.52723, -3.68408, -8.59804, -17.0976, -33.5062", \ + "7.60357, 6.45387, 4.20362, -0.100285, -7.92165, -16.4212, -32.8298", \ + "8.90897, 7.75927, 5.50901, 1.20511, -6.61626, -19.1133, -31.5244", \ + "8.42285, 10.1806, 3.93281, 0.78125, -4.19496, -16.692, -31.9629", \ + "11.4174, 10.2677, 8.01741, 3.71351, -4.10786, -12.6074, -29.016", \ + "18.4857, 13.3385, 11.0882, 6.78434, -1.03703, -13.534, -29.9427", \ + "22.6963, 21.5466, 15.2988, 8.83858, 3.17355, -9.32346, -29.7296" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8342, 14.679, 12.4485, 9.39697, 5.29872, 2.6212, -4.95972", \ + "16.1725, 15.0173, 12.7868, 8.64513, 9.63446, 2.95944, -4.62148", \ + "16.8475, 15.6923, 13.4617, 13.3176, 10.3094, 3.63442, -3.9465", \ + "20.1914, 17.0362, 14.8057, 11.7969, 11.6534, 4.97837, -1.47461", \ + "20.8553, 19.7001, 17.4696, 17.3254, 14.3173, 7.64223, 0.0613127", \ + "26.0868, 24.9316, 22.7011, 22.5569, 19.5488, 12.8738, 5.29283", \ + "36.165, 35.0099, 32.7793, 29.7559, 25.6295, 22.952, 15.3711" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.76911, 2.48415, -0.0212802, -3.68408, -9.25058, -18.0734, -31.2015", \ + "8.07821, 2.79575, 0.290318, -4.46257, -8.93898, -17.7618, -30.8899", \ + "8.68958, 7.40462, 0.901686, -3.8512, -8.32761, -17.1504, -34.276", \ + "7.6725, 8.58004, 6.07461, -1.52344, -7.15219, -15.975, -31.9629", \ + "12.0266, 10.7416, 8.2362, 3.48331, -4.99059, -13.8134, -30.939", \ + "15.5928, 14.3078, 11.8024, 7.04948, -1.42443, -14.2447, -31.3703", \ + "19.697, 18.4121, 15.9066, 9.15626, -1.31765, -14.138, -31.2636" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1255, 10.1732, 8.3297, 5.9375, 2.97288, -0.95034, -9.18091", \ + "11.5486, 10.5964, 8.75282, 9.30708, 3.39599, -0.527229, -8.7578", \ + "12.3869, 11.4347, 9.59114, 10.1454, 8.23182, 0.311095, -7.91948", \ + "15.083, 13.0797, 11.2362, 8.90625, 9.87687, 1.95615, -5.15626", \ + "17.1957, 16.2435, 14.4, 14.9542, 9.04314, 5.11992, -3.11066", \ + "23.0179, 22.0656, 20.2221, 16.7788, 14.8652, 10.942, 2.71145", \ + "32.6404, 31.6881, 29.8446, 27.5195, 24.4878, 20.5646, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.92719, 5.77748, 3.52723, -3.68408, -8.59804, -17.0976, -31.2015", \ + "8.07821, 6.45387, 4.20362, -0.100285, -7.92165, -16.4212, -30.8899", \ + "8.90897, 7.75927, 5.50901, 1.20511, -6.61626, -17.1504, -31.5244", \ + "8.42285, 10.1806, 6.07461, 0.78125, -4.19496, -15.975, -31.9629", \ + "12.0266, 10.7416, 8.2362, 3.71351, -4.10786, -12.6074, -29.016", \ + "18.4857, 14.3078, 11.8024, 7.04948, -1.03703, -13.534, -29.9427", \ + "22.6963, 21.5466, 15.9066, 9.15626, 3.17355, -9.32346, -29.7296" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8342, 14.679, 12.4485, 9.39697, 5.29872, 2.6212, -4.95972", \ + "16.1725, 15.0173, 12.7868, 9.30708, 9.63446, 2.95944, -4.62148", \ + "16.8475, 15.6923, 13.4617, 13.3176, 10.3094, 3.63442, -3.9465", \ + "20.1914, 17.0362, 14.8057, 11.7969, 11.6534, 4.97837, -1.47461", \ + "20.8553, 19.7001, 17.4696, 17.3254, 14.3173, 7.64223, 0.0613127", \ + "26.0868, 24.9316, 22.7011, 22.5569, 19.5488, 12.8738, 5.29283", \ + "36.165, 35.0099, 32.7793, 29.7559, 25.6295, 22.952, 15.3711" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0618668, -0.0624688, -0.0630561, -0.0621581, -0.0624997, -0.0622554, -0.0619734" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0624478, 0.0636425, 0.0637573, 0.0635342, 0.0637763, 0.0634112, 0.063125" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0899234, 0.0903562, 0.0909202, 0.0907795, 0.0909906, 0.0904996, 0.0903378" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0882649, -0.089539, -0.0898284, -0.0894458, -0.0901941, -0.0893003, -0.0889647" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.065447, -0.0660176, -0.0656193, -0.066489, -0.0665366, -0.0656388, -0.0657014" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0694403, 0.068815, 0.0692356, 0.0695144, 0.0699606, 0.0690901, 0.0684992" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0447037, 0.0449477, 0.0445206, 0.0450084, 0.0449186, 0.0442732, 0.0440948" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0439427, -0.0431277, -0.043244, -0.0434194, -0.0437117, -0.0432548, -0.0431033" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192442, 0.189669, 0.191102, 0.207052, 0.263781, 0.414339, 0.751947" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.538841, 0.534599, 0.531561, 0.539787, 0.588119, 0.737069, 1.08675" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.531519, 0.528974, 0.529674, 0.545341, 0.60259, 0.752913, 1.08764" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193284, 0.188673, 0.185539, 0.194158, 0.241681, 0.391666, 0.742041" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.195301, 0.192535, 0.194278, 0.212375, 0.272077, 0.42492, 0.765757" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.51689, 0.512953, 0.512047, 0.521678, 0.574224, 0.727423, 1.08346" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514874, 0.511948, 0.51354, 0.532255, 0.591202, 0.743756, 1.08212" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.188692, 0.184345, 0.183411, 0.193393, 0.24569, 0.399345, 0.755581" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625247, -0.0619227, -0.0621794, -0.0628435, -0.0624357, -0.0624445, -0.0619986" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625247, 0.0630467, 0.0624967, 0.0631202, 0.0636502, 0.0631666, 0.0630481" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.089908, 0.0902878, 0.0902103, 0.0910855, 0.0909178, 0.0907131, 0.0903438" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0885239, -0.0893401, -0.088691, -0.0894052, -0.0904281, -0.0893613, -0.0890659" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0624793, -0.0625573, -0.0627954, -0.062924, -0.0621994, -0.0624206, -0.0621843" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0628439, 0.0634325, 0.0629309, 0.0638489, 0.0635365, 0.0632769, 0.0630332" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0498756, 0.0499014, 0.0499033, 0.0499949, 0.0494668, 0.0497023, 0.0495353" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0498756, -0.0499014, -0.0499033, -0.0499949, -0.0494668, -0.0497023, -0.0495353" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0468962, -0.0503836, -0.0543042, -0.0563076, -0.0585612, -0.0589336, -0.0595035" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625213, 0.0628149, 0.0628598, 0.0632543, 0.063367, 0.0627536, 0.0626671" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0915914, 0.0902575, 0.0899955, 0.089641, 0.0897363, 0.0885255, 0.0880923" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0802011, -0.0815529, -0.0835589, -0.0847845, -0.0861165, -0.0855335, -0.0855989" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0444155, -0.0484658, -0.0524774, -0.0554919, -0.0577599, -0.0583131, -0.0589856" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0617686, 0.0621309, 0.0623515, 0.0626507, 0.0626443, 0.0619302, 0.0619961" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0919382, 0.0911015, 0.0910038, 0.0907163, 0.0904435, 0.0892455, 0.088845" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0864152, -0.0854967, -0.0871523, -0.0874643, -0.0875061, -0.0865078, -0.0865881" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.55066; + rise_capacitance : 1.54581; + rise_capacitance_range (1.31591, 1.54581); + fall_capacitance : 1.55066; + fall_capacitance_range (1.27501, 1.55066); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.23736, -8.62352, -7.42671, -7.92725, -5.10759, 1.00987, 5.34302", \ + "-9.66556, -9.05172, -7.8549, -5.58474, -5.53579, 0.58168, 4.91483", \ + "-10.4852, -9.87141, -8.67459, -10.4019, -6.35548, -0.23801, 4.09514", \ + "-14.7681, -11.364, -10.1672, -10.625, -7.84807, -1.73061, 3.73047", \ + "-16.0249, -11.4135, -10.2167, -11.9441, -7.8976, -5.77763, 2.55302", \ + "-16.1239, -15.5101, -14.3133, -12.0431, -7.99665, -5.87669, 2.45397", \ + "-12.3245, -11.7107, -10.5139, -11.0547, -8.19476, -2.07729, 6.25336" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.36127, 5.49664, 7.70501, 9.0625, 15.2113, 21.8951, 35.2854", \ + "3.78621, 4.92158, 7.12995, 11.2972, 14.6362, 21.32, 34.7103", \ + "-1.33933, -0.203957, 6.00191, 6.17166, 13.5082, 20.192, 33.5822", \ + "-2.31934, -2.37171, -0.163342, 5.23438, 11.3404, 18.0242, 28.5449", \ + "-7.4893, -2.35643, -0.148058, 0.0216898, 7.35823, 14.042, 27.4323", \ + "-10.0431, -8.9077, -6.69933, -2.53208, 0.806958, 7.49073, 20.881", \ + "-21.4905, -16.3576, -14.1492, -12.8125, -6.64295, 0.0408153, 13.4311" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.73529, 8.8309, 10.9671, 12.1704, 18.2453, 25.1718, 40.9226", \ + "7.81354, 8.90915, 11.0453, 15.0975, 18.3235, 25.25, 41.0008", \ + "7.98577, 9.08138, 11.2176, 15.2697, 18.4957, 25.4223, 41.173", \ + "5.56396, 9.48886, 11.625, 12.8906, 18.9032, 29.8272, 38.7012", \ + "9.46022, 10.5558, 12.692, 16.7442, 19.9702, 30.8942, 42.6475", \ + "12.6022, 13.6978, 15.834, 19.8862, 27.1097, 34.0362, 45.7895", \ + "18.9209, 20.0165, 26.1502, 27.8785, 33.4284, 44.3524, 56.1057" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.03717, -3.3834, -2.11109, -2.49756, 0.539195, 6.77332, 14.2233", \ + "-4.47443, -3.82066, -2.54835, -4.14209, 0.101934, 2.33856, 9.78858", \ + "-5.3562, -4.70243, -3.43012, -5.02387, -0.779837, 1.45679, 8.9068", \ + "-9.93896, -6.49498, -5.22266, -5.54687, -2.57238, -0.335754, 8.24219", \ + "-10.8498, -10.196, -8.92373, -10.5175, -6.27345, -0.0393265, 7.41069", \ + "-22.7134, -22.0597, -20.7873, -18.3836, -14.1396, -7.90544, -0.455422", \ + "-36.304, -35.6503, -34.3779, -34.7852, -31.7277, -25.4935, -18.0435" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.73529, 8.8309, 10.9671, 12.1704, 18.2453, 25.1718, 40.9226", \ + "7.81354, 8.90915, 11.0453, 15.0975, 18.3235, 25.25, 41.0008", \ + "7.98577, 9.08138, 11.2176, 15.2697, 18.4957, 25.4223, 41.173", \ + "5.56396, 9.48886, 11.625, 12.8906, 18.9032, 29.8272, 38.7012", \ + "9.46022, 10.5558, 12.692, 16.7442, 19.9702, 30.8942, 42.6475", \ + "12.6022, 13.6978, 15.834, 19.8862, 27.1097, 34.0362, 45.7895", \ + "18.9209, 20.0165, 26.1502, 27.8785, 33.4284, 44.3524, 56.1057" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.36127, 5.49664, 7.70501, 9.0625, 15.2113, 21.8951, 35.2854", \ + "3.78621, 4.92158, 7.12995, 11.2972, 14.6362, 21.32, 34.7103", \ + "-1.33933, -0.203957, 6.00191, 6.17166, 13.5082, 20.192, 33.5822", \ + "-2.31934, -2.37171, -0.163342, 5.23438, 11.3404, 18.0242, 28.5449", \ + "-7.4893, -2.35643, -0.148058, 0.0216898, 7.35823, 14.042, 27.4323", \ + "-10.0431, -8.9077, -6.69933, -2.53208, 0.806958, 7.49073, 20.881", \ + "-21.4905, -16.3576, -14.1492, -12.8125, -6.64295, 0.0408153, 13.4311" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3425, 16.3523, 14.4372, 14.8666, 8.77838, 5.17927, -2.40722", \ + "18.0736, 17.0833, 15.1683, 15.5977, 13.5069, 5.91031, -1.67619", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.4083, 22.418, 20.503, 16.9349, 14.8441, 11.245, 3.65849", \ + "23.4236, 22.4333, 20.5183, 16.9502, 14.8594, 11.2603, 3.67384", \ + "19.4568, 18.4665, 16.5515, 14.1016, 14.8901, 7.29353, -0.292969" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5161, 9.13817, 6.45849, -1.50391, -7.48893, -16.4062, -30.7502", \ + "11.4963, 10.1184, 7.4387, 2.38372, -6.50871, -15.426, -29.77", \ + "13.3995, 12.0216, 9.34194, 4.28696, -4.60547, -13.5227, -27.8668", \ + "14.0503, 11.6018, 8.92217, 5, -1.02775, -9.945, -22.2891", \ + "19.2201, 17.8422, 15.1626, 10.1076, 1.21516, -7.7021, -22.0462", \ + "24.0433, 22.6654, 19.9857, 14.9307, 10.0358, -2.87898, -13.2255", \ + "35.0388, 33.6609, 30.9812, 23.0469, 17.0338, 8.11653, -6.22754" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.41333, 2.89354, -0.0601136, -4.57275, -11.379, -21.3886, -31.4066", \ + "4.43127, 2.91149, -0.0421689, -5.60583, -11.3611, -21.3706, -31.3886", \ + "4.45742, 2.93763, -0.0160279, -5.57969, -11.3349, -21.3445, -31.3625", \ + "6.4707, 2.95092, -0.00274004, -4.45312, -11.3216, -21.3312, -34.2285", \ + "4.3413, 2.82152, -0.132141, -1.69831, -11.451, -21.4606, -35.4761", \ + "3.45859, 1.93881, -1.01485, -2.58102, -12.3337, -22.3433, -36.3588", \ + "-0.802452, -2.32224, -5.2759, -8.94475, -16.5948, -26.6043, -44.6173" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7841, 10.1157, 8.80812, 6.32986, 5.91864, -0.707566, -5.19511", \ + "16.2549, 11.5865, 10.2789, 7.80062, 7.38941, 0.763199, -3.72434", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.5354, 19.8644, 18.5568, 16.0786, 11.6699, 5.04364, 0.556104", \ + "30.1149, 29.444, 28.1364, 25.6581, 21.2494, 14.6232, 6.13815", \ + "48.2736, 47.6027, 46.2951, 40.9375, 39.4081, 32.7819, 24.2969" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3425, 16.3523, 14.4372, 14.8666, 8.77838, 5.17927, -2.40722", \ + "18.0736, 17.0833, 15.1683, 15.5977, 13.5069, 5.91031, -1.67619", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.4083, 22.418, 20.503, 16.9349, 14.8441, 11.245, 3.65849", \ + "23.4236, 22.4333, 20.5183, 16.9502, 14.8594, 11.2603, 3.67384", \ + "19.4568, 18.4665, 16.5515, 14.1016, 14.8901, 7.29353, -0.292969" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7841, 10.1184, 8.80812, 6.32986, 5.91864, -0.707566, -5.19511", \ + "16.2549, 12.0216, 10.2789, 7.80062, 7.38941, 0.763199, -3.72434", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.5354, 19.8644, 18.5568, 16.0786, 11.6699, 5.04364, 0.556104", \ + "30.1149, 29.444, 28.1364, 25.6581, 21.2494, 14.6232, 6.13815", \ + "48.2736, 47.6027, 46.2951, 40.9375, 39.4081, 32.7819, 24.2969" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0833741, 0.0841014, 0.0961646, 0.136259, 0.24902, 0.516257, 1.10056" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.447465, 0.447377, 0.473684, 0.545218, 0.70292, 1.02748, 1.67444" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.425795, 0.425658, 0.437305, 0.481441, 0.593168, 0.860366, 1.4422" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0919556, 0.092291, 0.117864, 0.189095, 0.34637, 0.669681, 1.31573" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46119, 0.460503, 0.47275, 0.511392, 0.608977, 0.823822, 1.27692" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.613823, 0.61015, 0.62389, 0.668696, 0.776861, 1.0083, 1.49146" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.677615, 0.675905, 0.687827, 0.726703, 0.826712, 1.0413, 1.49417" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.392455, 0.389672, 0.401968, 0.446976, 0.553338, 0.785624, 1.26941" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.198287, 0.19475, 0.208526, 0.265042, 0.423041, 0.795274, 1.59126" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.744416, 0.744751, 0.764526, 0.830336, 1.00743, 1.41748, 2.27257" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737903, 0.735729, 0.747759, 0.803806, 0.963574, 1.33682, 2.13036" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.204337, 0.204494, 0.224701, 0.290486, 0.467545, 0.876255, 1.73326" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0570205, -0.056177, -0.0438484, -0.00352122, 0.094016, 0.308552, 0.7586" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.375864, 0.37828, 0.396632, 0.445779, 0.555199, 0.784984, 1.25888" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376331, 0.377438, 0.389219, 0.429633, 0.528703, 0.743345, 1.19322" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0529701, -0.0512445, -0.033715, 0.013456, 0.122201, 0.353632, 0.826448" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0156846, -0.0192114, -0.00945355, 0.0265857, 0.123669, 0.337949, 0.786772" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.375843, 0.371206, 0.382903, 0.426596, 0.532492, 0.760113, 1.23152" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.392595, 0.391723, 0.400758, 0.438712, 0.537134, 0.752056, 1.20056" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0583729, -0.0588757, -0.0426328, 0.00373637, 0.112807, 0.342798, 0.815764" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0572314, -0.0556756, -0.0435613, -0.00312623, 0.0948447, 0.310255, 0.758955" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373001, 0.376176, 0.393864, 0.444099, 0.552722, 0.783177, 1.25686" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376671, 0.376684, 0.389737, 0.431261, 0.528686, 0.745075, 1.19285" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0561575, -0.0535878, -0.0364936, 0.0114501, 0.119873, 0.351422, 0.824202" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.655046; + rise_capacitance : 0.648015; + rise_capacitance_range (0.530857, 0.648015); + fall_capacitance : 0.655046; + fall_capacitance_range (0.549471, 0.655046); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.87348, 8.02861, 10.2812, 11.7261, 18.1827, 25.7407, 38.0725", \ + "6.61341, 7.76854, 10.0211, 10.2978, 17.9227, 25.4807, 37.8124", \ + "6.12019, 7.27532, 9.52787, 13.8021, 17.4294, 24.9875, 37.3192", \ + "2.43164, 6.39653, 8.64908, 10.1562, 16.5506, 24.1087, 37.5684", \ + "1.6394, 2.79454, 9.04458, 9.32129, 16.9461, 24.5042, 36.8359", \ + "2.43042, 3.58555, 5.8381, 10.1123, 17.7372, 25.2952, 41.6245", \ + "8.00994, 9.16508, 11.4176, 12.8613, 19.3192, 30.8747, 43.2065" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.45465, -3.82085, -2.58628, -3.02002, -0.101583, 2.07671, 9.96705", \ + "-5.21273, -4.57893, -3.34436, -5.00491, -0.859664, 1.31863, 9.20897", \ + "-6.70062, -6.06682, -4.83225, -6.49279, -2.34755, -0.169256, 7.72108", \ + "-12.3535, -8.92949, -7.69493, -8.08594, -5.21023, 0.96557, 5.98633", \ + "-14.8362, -14.2024, -12.9679, -10.6309, -6.48567, -4.30737, 3.58296", \ + "-23.5725, -22.9387, -21.7041, -19.3672, -15.2219, -9.04612, -1.15579", \ + "-33.8065, -33.1727, -31.9381, -32.4121, -29.4534, -23.2776, -15.3873" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.73529, 8.8309, 10.9671, 12.1704, 18.2453, 25.1718, 40.9226", \ + "7.83424, 8.92986, 11.066, 15.1182, 18.3442, 25.2707, 41.0215", \ + "8.02719, 9.1228, 11.259, 15.3111, 18.5372, 25.4637, 41.2145", \ + "5.56396, 5.49136, 11.625, 12.8906, 18.9032, 25.8297, 38.7012", \ + "5.04855, 6.14416, 8.28034, 12.3325, 19.556, 26.4825, 42.2333", \ + "6.03687, 7.13248, 9.26865, 13.3208, 20.5443, 31.4684, 43.2216", \ + "6.74438, 7.83999, 13.9737, 15.1953, 25.2494, 32.1759, 47.9266" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.39361, -0.672229, -3.26834, 0.556641, 3.98126, 6.55998, 17.1135", \ + "-5.72586, -5.00448, -3.60309, -0.965851, 3.64651, 6.22523, 16.7787", \ + "-6.39902, -5.67764, -4.27625, -1.63901, -1.02416, 5.55207, 12.1081", \ + "-6.57227, -7.03863, -5.63724, -1, -2.38514, 4.19109, 11.8652", \ + "-10.5406, -9.81925, -8.41786, -5.78062, -1.16826, 1.41047, 7.96646", \ + "-16.3365, -15.6151, -14.2137, -11.5764, -10.9616, -4.38536, 2.17063", \ + "-24.869, -24.1476, -22.7462, -22.9199, -19.4941, -16.9154, -6.36187" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.73529, 8.8309, 10.9671, 12.1704, 18.2453, 25.7407, 40.9226", \ + "7.83424, 8.92986, 11.066, 15.1182, 18.3442, 25.4807, 41.0215", \ + "8.02719, 9.1228, 11.259, 15.3111, 18.5372, 25.4637, 41.2145", \ + "5.56396, 6.39653, 11.625, 12.8906, 18.9032, 25.8297, 38.7012", \ + "5.04855, 6.14416, 9.04458, 12.3325, 19.556, 26.4825, 42.2333", \ + "6.03687, 7.13248, 9.26865, 13.3208, 20.5443, 31.4684, 43.2216", \ + "8.00994, 9.16508, 13.9737, 15.1953, 25.2494, 32.1759, 47.9266" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.39361, -0.672229, -2.58628, 0.556641, 3.98126, 6.55998, 17.1135", \ + "-5.21273, -4.57893, -3.34436, -0.965851, 3.64651, 6.22523, 16.7787", \ + "-6.39902, -5.67764, -4.27625, -1.63901, -1.02416, 5.55207, 12.1081", \ + "-6.57227, -7.03863, -5.63724, -1, -2.38514, 4.19109, 11.8652", \ + "-10.5406, -9.81925, -8.41786, -5.78062, -1.16826, 1.41047, 7.96646", \ + "-16.3365, -15.6151, -14.2137, -11.5764, -10.9616, -4.38536, 2.17063", \ + "-24.869, -24.1476, -22.7462, -22.9199, -19.4941, -16.9154, -6.36187" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.16187, 3.74797, 0.997897, -2.19141, -9.32913, -18.6285, -33.3232", \ + "5.36843, 3.95454, 1.20447, -3.98484, -9.12256, -18.422, -33.1167", \ + "5.77422, 4.36033, 1.61025, 0.418448, -8.71678, -18.0162, -32.7109", \ + "7.62695, 9.14, 6.38993, -1.53125, -7.9346, -17.234, -30.8008", \ + "12.0007, 10.5868, 7.8367, 2.64739, -6.48783, -15.7872, -30.4819", \ + "14.4239, 13.01, 10.2599, 5.07058, -4.06464, -13.3641, -28.0588", \ + "13.3914, 11.9775, 9.2274, 5.15626, -1.09963, -14.3966, -29.0912" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.212, 12.1298, 10.0354, 7.2168, 7.42425, 3.47172, -5.39826", \ + "13.8761, 12.7939, 10.6996, 10.7884, 8.0884, 4.13587, -4.73411", \ + "15.1885, 14.1063, 12.0119, 12.1007, 9.40074, 5.44821, -3.42177", \ + "19.3072, 16.6671, 14.5728, 11.7969, 11.9616, 4.01158, -3.73047", \ + "22.6158, 21.5336, 19.4393, 15.5306, 12.8306, 8.87808, 0.00809998", \ + "31.3279, 30.2457, 28.1514, 24.2426, 21.5427, 17.5901, 8.72017", \ + "48.6658, 47.5836, 45.4892, 38.7012, 34.8831, 30.9305, 22.0605" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.49292, 2.12093, -0.553444, -4.57275, -10.6533, -20.2552, -33.6331", \ + "3.83772, 2.46573, -0.208641, -5.27898, -10.3085, -19.9104, -33.2883", \ + "4.5088, 3.13681, 0.462439, -4.6079, -9.63742, -19.2393, -32.6172", \ + "6.87891, 4.40487, 1.73049, -2.22656, -8.36936, -17.9713, -34.2285", \ + "12.014, 6.64455, 3.97018, 2.89734, -6.12968, -15.7316, -33.107", \ + "11.3102, 9.93822, 7.26385, 2.19351, -2.836, -16.4354, -29.8133", \ + "17.1523, 15.7803, 9.10843, 5.15626, -0.991427, -14.5909, -31.9662" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9189, 10.2993, 9.08879, 3.83545, 2.62512, -3.86078, -9.52179", \ + "11.6671, 11.0475, 9.83699, 7.53012, 3.37332, -3.11258, -8.77359", \ + "13.1308, 12.5112, 7.30319, 8.99383, 4.83703, -1.64888, -7.30989", \ + "12.981, 11.3104, 10.0998, 8.90625, 7.63367, 1.14776, -7.39258", \ + "17.0001, 16.3806, 15.17, 12.8632, 8.70635, 2.22045, -3.44056", \ + "25.0481, 24.4286, 23.218, 16.9137, 16.7544, 10.2685, 0.609945", \ + "36.7722, 36.1526, 34.9421, 29.7559, 24.4809, 21.9925, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.16187, 3.74797, 0.997897, -2.19141, -9.32913, -18.6285, -33.3232", \ + "5.36843, 3.95454, 1.20447, -3.98484, -9.12256, -18.422, -33.1167", \ + "5.77422, 4.36033, 1.61025, 0.418448, -8.71678, -18.0162, -32.6172", \ + "7.62695, 9.14, 6.38993, -1.53125, -7.9346, -17.234, -30.8008", \ + "12.014, 10.5868, 7.8367, 2.89734, -6.12968, -15.7316, -30.4819", \ + "14.4239, 13.01, 10.2599, 5.07058, -2.836, -13.3641, -28.0588", \ + "17.1523, 15.7803, 9.2274, 5.15626, -0.991427, -14.3966, -29.0912" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.212, 12.1298, 10.0354, 7.2168, 7.42425, 3.47172, -5.39826", \ + "13.8761, 12.7939, 10.6996, 10.7884, 8.0884, 4.13587, -4.73411", \ + "15.1885, 14.1063, 12.0119, 12.1007, 9.40074, 5.44821, -3.42177", \ + "19.3072, 16.6671, 14.5728, 11.7969, 11.9616, 4.01158, -3.73047", \ + "22.6158, 21.5336, 19.4393, 15.5306, 12.8306, 8.87808, 0.00809998", \ + "31.3279, 30.2457, 28.1514, 24.2426, 21.5427, 17.5901, 8.72017", \ + "48.6658, 47.5836, 45.4892, 38.7012, 34.8831, 30.9305, 22.0605" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179057, 0.17527, 0.175653, 0.191635, 0.244695, 0.381276, 0.680396" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.45759, 0.452431, 0.450495, 0.463255, 0.51589, 0.660459, 0.975359" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437568, 0.434044, 0.434334, 0.45063, 0.50406, 0.640421, 0.938386" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197115, 0.191809, 0.190715, 0.202749, 0.255522, 0.399971, 0.715649" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00513858, -0.00536359, -0.00512217, -0.00528033, -0.00531775, -0.00533756, -0.00535674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00620511, 0.00617001, 0.00641273, 0.00632956, 0.00631221, 0.00625362, 0.00619876" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102147, 0.102955, 0.102251, 0.103139, 0.103129, 0.102832, 0.102298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0998073, -0.0991649, -0.100932, -0.101128, -0.101391, -0.100216, -0.0999361" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.175166, 0.17252, 0.174761, 0.195572, 0.258142, 0.408637, 0.732935" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.405023, 0.401485, 0.401437, 0.418687, 0.479581, 0.636817, 0.977315" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.393986, 0.391134, 0.393629, 0.414253, 0.476899, 0.62771, 0.950908" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.183461, 0.179426, 0.179862, 0.196793, 0.257844, 0.415292, 0.756038" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0785053, -0.0788348, -0.0798929, -0.0802801, -0.0804632, -0.0793744, -0.0794625" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0818027, 0.0820826, 0.0825768, 0.082999, 0.0833142, 0.0826009, 0.082011" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.035079, 0.0351966, 0.0353867, 0.0353114, 0.03517, 0.0347304, 0.0345985" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0347948, -0.0348015, -0.0343675, -0.0344039, -0.0343669, -0.0339544, -0.0337302" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.061192, -0.0649521, -0.0688584, -0.0710855, -0.0725109, -0.0723468, -0.072776" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0752183, 0.0757096, 0.0760001, 0.0765761, 0.0759527, 0.0764725, 0.0762932" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0957594, 0.0921255, 0.0902565, 0.0891172, 0.0883612, 0.0869558, 0.0864149" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.078844, -0.0796299, -0.0817841, -0.0830036, -0.0827841, -0.0833495, -0.0832711" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.013364, -0.0133901, -0.0134293, -0.0134926, -0.0135746, -0.0135486, -0.0135163" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.013364, 0.0133901, 0.0134293, 0.0134926, 0.0135746, 0.0135486, 0.0135163" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0979528, 0.0984569, 0.0982555, 0.0988837, 0.0990813, 0.0983042, 0.0979527" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0951493, -0.0954522, -0.0954086, -0.0962807, -0.0965765, -0.0955885, -0.0949928" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621311, -0.0658652, -0.0687233, -0.0706025, -0.0713441, -0.0723555, -0.072563" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0757642, 0.0757337, 0.076748, 0.0773667, 0.0767611, 0.0765666, 0.0764894" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0947652, 0.091678, 0.0904271, 0.0892278, 0.0882767, 0.0878523, 0.0872002" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0833428, -0.0832639, -0.0847857, -0.0852591, -0.0844841, -0.084251, -0.0839652" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.07633, -0.0774015, -0.0776002, -0.0772003, -0.0777188, -0.0776726, -0.0774691" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0770505, 0.0774015, 0.0776002, 0.0784542, 0.0784152, 0.0782629, 0.0780873" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0389543, 0.0391889, 0.0388079, 0.0387689, 0.0388547, 0.0386606, 0.0385146" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0389543, -0.0391889, -0.0388079, -0.0387689, -0.0388547, -0.0386606, -0.0382509" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx2_ASAP7_75t_L) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2143.25; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1761.75; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2160.61; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2127.21; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2240.69; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1859.2; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2242.19; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1860.69; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2141.02; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1759.53; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1969.43; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1936.04; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2268.19; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2234.8; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2077.02; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2043.63; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1409.37; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1886.16; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1544.96; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1722.92; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1516.74; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1993.75; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1514.28; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1991.52; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1407.14; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1883.93; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1542.74; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1700.67; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1652.56; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1830.51; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1650.33; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1808.26; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1871.28; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.9293, 36.8405, 41.8222, 50.2807, 64.8576, 91.8954, 144.877", \ + "35.3079, 38.2191, 43.2002, 51.6582, 66.2367, 93.2758, 146.254", \ + "37.8695, 40.7853, 45.7647, 54.2229, 68.7999, 95.8403, 148.82", \ + "42.0411, 44.9461, 49.9232, 58.3737, 72.9521, 99.9897, 152.967", \ + "48.0976, 51.0002, 55.9728, 64.4256, 78.9917, 106.076, 159.05", \ + "57.5465, 60.4438, 65.4129, 73.858, 88.4444, 115.47, 168.532", \ + "72.3572, 75.2561, 80.2189, 88.6791, 103.277, 130.339, 183.316" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.1389, 18.2292, 25.9527, 40.5734, 69.5883, 128.581, 248.978", \ + "14.1404, 18.2306, 25.954, 40.5761, 69.5894, 128.591, 248.978", \ + "14.1312, 18.2227, 25.9551, 40.5662, 69.5887, 128.591, 248.978", \ + "14.1963, 18.2437, 25.9824, 40.5879, 69.604, 128.599, 248.981", \ + "14.1972, 18.3157, 26.0121, 40.6526, 69.6236, 128.625, 249.018", \ + "14.3167, 18.3941, 26.2394, 40.7028, 70.3879, 128.942, 249.087", \ + "14.711, 18.7715, 26.4184, 40.9544, 69.9103, 129.552, 249.283" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.9586, 37.0656, 42.3212, 50.7281, 64.66, 89.5979, 137.389", \ + "35.3395, 38.4449, 43.7027, 52.1109, 66.0405, 90.9913, 138.771", \ + "37.9239, 41.0294, 46.2873, 54.6951, 68.6257, 93.5761, 141.354", \ + "41.8692, 44.9957, 50.2466, 58.6455, 72.5815, 97.5238, 145.296", \ + "47.8182, 50.9257, 56.1873, 64.5868, 78.4906, 103.422, 151.225", \ + "57.0021, 60.1069, 65.348, 73.7357, 87.6622, 112.571, 160.359", \ + "71.5423, 74.63, 79.8486, 88.2111, 102.095, 127.017, 174.783" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.4356, 18.2228, 25.0622, 37.9016, 62.8159, 112.912, 215.535", \ + "14.4343, 18.2281, 25.0624, 37.9044, 62.8149, 112.913, 215.536", \ + "14.4401, 18.2292, 25.0636, 37.9054, 62.8168, 112.912, 215.534", \ + "14.431, 18.2424, 25.0957, 37.9315, 62.8493, 112.933, 215.543", \ + "14.4659, 18.2536, 25.1195, 37.9425, 62.8097, 112.911, 215.545", \ + "14.5109, 18.2931, 25.1895, 37.9377, 63.1297, 113.008, 215.521", \ + "14.8208, 18.5479, 25.2843, 38.0211, 62.8517, 113.209, 216.88" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.09335, 1.04073, 0.999719, 0.976806, 0.964709, 0.95836, 0.954996", \ + "1.09255, 1.03994, 0.998992, 0.975574, 0.963912, 0.957632, 0.954375", \ + "1.09876, 1.04649, 1.00516, 0.981846, 0.970145, 0.963996, 0.960667", \ + "1.12908, 1.07598, 1.03407, 1.01044, 0.997292, 0.990785, 0.987324", \ + "1.20192, 1.14806, 1.1053, 1.08374, 1.06472, 1.05527, 1.04818", \ + "1.37009, 1.31478, 1.27994, 1.25469, 1.26744, 1.25248, 1.22081", \ + "1.72691, 1.67135, 1.62474, 1.59438, 1.58517, 1.59852, 1.57366" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.22937, 1.16836, 1.10899, 1.07265, 1.05542, 1.04544, 1.03972", \ + "1.22846, 1.16713, 1.10818, 1.07243, 1.05447, 1.0448, 1.03912", \ + "1.23741, 1.17604, 1.1171, 1.08115, 1.06334, 1.05364, 1.04792", \ + "1.26611, 1.20738, 1.14888, 1.11201, 1.09471, 1.0846, 1.07863", \ + "1.34042, 1.27898, 1.22032, 1.1842, 1.1657, 1.15647, 1.15", \ + "1.506, 1.4447, 1.38559, 1.34489, 1.32742, 1.31859, 1.31145", \ + "1.85856, 1.79509, 1.72972, 1.69201, 1.6716, 1.66133, 1.65585" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.955253, 0.902662, 0.861668, 0.838808, 0.826714, 0.82038, 0.817013", \ + "0.954907, 0.902267, 0.861303, 0.837906, 0.826238, 0.820005, 0.816715", \ + "0.961543, 0.90924, 0.867865, 0.844503, 0.832732, 0.826584, 0.823225", \ + "0.993027, 0.939885, 0.89849, 0.8745, 0.862592, 0.856234, 0.852864", \ + "1.06485, 1.01124, 0.96866, 0.944321, 0.935199, 0.928764, 0.925302", \ + "1.23289, 1.17741, 1.13559, 1.10744, 1.09504, 1.08696, 1.08531", \ + "1.58981, 1.53315, 1.48371, 1.45351, 1.43769, 1.42968, 1.42598" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.06315, 1.00211, 0.942781, 0.906496, 0.889315, 0.879332, 0.873644", \ + "1.06271, 1.00138, 0.942472, 0.906765, 0.888884, 0.879205, 0.873432", \ + "1.07243, 1.01106, 0.952183, 0.916283, 0.898528, 0.888815, 0.883004", \ + "1.10151, 1.04068, 0.979357, 0.943013, 0.922945, 0.912435, 0.906203", \ + "1.17578, 1.11482, 1.0537, 1.01778, 0.997258, 0.982793, 0.979993", \ + "1.34187, 1.27943, 1.2209, 1.1807, 1.1771, 1.15372, 1.14583", \ + "1.69344, 1.6304, 1.56466, 1.53036, 1.5278, 1.55331, 1.58456" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.518702; + rise_capacitance : 0.518681; + rise_capacitance_range (0.420766, 0.518681); + fall_capacitance : 0.518702; + fall_capacitance_range (0.410834, 0.518702); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.9399, 25.9399, 28.0762, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.530647, 0.530595, 0.536896, 0.56408, 0.625707, 0.773335, 1.09076" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720082, 0.719083, 0.72514, 0.75167, 0.813386, 0.961386, 1.2781" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327554, 0.325998, 0.332658, 0.356892, 0.417865, 0.56284, 0.877333" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47824, 0.475319, 0.484183, 0.511297, 0.581761, 0.738996, 1.07288" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486356, 0.484633, 0.49065, 0.51505, 0.576287, 0.720702, 1.03525" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.31989, 0.316876, 0.326227, 0.353154, 0.423222, 0.580598, 0.91479" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.343145, 0.341459, 0.349126, 0.372683, 0.434317, 0.579211, 0.893925" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462739, 0.460471, 0.468346, 0.496555, 0.566784, 0.724787, 1.05776" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486034, 0.484256, 0.491401, 0.514613, 0.576873, 0.721494, 1.03616" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319522, 0.317129, 0.32551, 0.353931, 0.423449, 0.581876, 0.915033" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.60313, 0.60187, 0.608154, 0.63402, 0.702737, 0.860394, 1.20383" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.692547, 0.691174, 0.697022, 0.722575, 0.790966, 0.949432, 1.29315" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.534998, 0.53481, 0.540793, 0.565938, 0.629094, 0.776032, 1.09242" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.80506, 0.804699, 0.810271, 0.834413, 0.898738, 1.04498, 1.36051" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322672, 0.320801, 0.327486, 0.351444, 0.412621, 0.557422, 0.871875" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483904, 0.481561, 0.49039, 0.517543, 0.588026, 0.745239, 1.07916" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483296, 0.48239, 0.48843, 0.512561, 0.573971, 0.71799, 1.0326" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322151, 0.319589, 0.328895, 0.355863, 0.425949, 0.583319, 0.91765" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.534044, 0.53348, 0.540418, 0.565634, 0.62838, 0.776065, 1.09235" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.83572, 0.83428, 0.840464, 0.864801, 0.928143, 1.07589, 1.3911" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.323247, 0.321115, 0.327746, 0.351135, 0.412846, 0.55773, 0.87214" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.48348, 0.481393, 0.490356, 0.517532, 0.587074, 0.746043, 1.07922" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484826, 0.483146, 0.489002, 0.512047, 0.574626, 0.718901, 1.0333" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322063, 0.319211, 0.328615, 0.355678, 0.425556, 0.583901, 0.917422" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.530273, 0.530271, 0.53679, 0.563537, 0.625452, 0.772754, 1.091" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.719802, 0.718812, 0.724881, 0.750663, 0.812984, 0.960371, 1.2785" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327555, 0.326001, 0.332604, 0.356946, 0.417795, 0.56285, 0.87733" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.477503, 0.475185, 0.484172, 0.511312, 0.580903, 0.739824, 1.07298" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486359, 0.484611, 0.490441, 0.515003, 0.57618, 0.720802, 1.03523" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319313, 0.316729, 0.326178, 0.353212, 0.423008, 0.581462, 0.914916" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341512, 0.339774, 0.34756, 0.371021, 0.432787, 0.577737, 0.892516" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.463029, 0.460869, 0.467353, 0.496749, 0.568121, 0.724674, 1.0574" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483943, 0.482136, 0.489383, 0.512443, 0.57489, 0.719558, 1.03426" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321319, 0.318095, 0.325365, 0.354801, 0.425232, 0.582448, 0.915376" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.552262, 0.550943, 0.557493, 0.583416, 0.652998, 0.813907, 1.16378" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.6824, 0.681083, 0.687066, 0.712702, 0.781894, 0.943841, 1.29378" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338097, 0.336419, 0.344135, 0.367624, 0.429263, 0.574173, 0.888891" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46781, 0.465532, 0.473389, 0.501643, 0.571758, 0.72985, 1.06287" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478915, 0.47714, 0.484339, 0.507475, 0.569751, 0.714402, 1.02913" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326659, 0.324258, 0.332617, 0.361099, 0.43052, 0.589, 0.922186" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.598547, 0.597106, 0.603379, 0.629179, 0.696787, 0.855351, 1.20031" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.67919, 0.677714, 0.683636, 0.70907, 0.776538, 0.935689, 1.28088" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.61291; + rise_capacitance : 0.607495; + rise_capacitance_range (0.505579, 0.607495); + fall_capacitance : 0.61291; + fall_capacitance_range (0.544914, 0.61291); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.00189, 7.221, 9.59298, 11.3403, 17.9726, 25.5318, 39.6823", \ + "5.95035, 7.16946, 9.54144, 14.0204, 17.921, 25.4802, 39.6308", \ + "5.85469, 7.0738, 9.44578, 13.9248, 17.8254, 25.3846, 39.5351", \ + "2.96143, 6.91217, 9.28414, 11.0938, 17.6637, 25.2229, 36.5137", \ + "1.96603, 3.18514, 9.55462, 10.0361, 17.9342, 25.4934, 39.644", \ + "2.50698, 3.72609, 6.09807, 10.5771, 18.4751, 26.0344, 40.1849", \ + "3.58888, 4.80799, 11.1775, 13.6304, 19.557, 27.1163, 41.2668" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.82349, -6.55321, -6.01424, -3.61816, -2.82473, 1.30977, 9.17359", \ + "-7.47343, -7.20316, -6.66418, -5.59257, -3.47467, 0.659829, 8.52364", \ + "-8.73983, -8.46955, -7.93058, -6.85897, -4.74107, -0.606572, 7.25724", \ + "-10.1387, -10.8684, -6.33192, -7.89062, -3.14241, 0.992088, 5.98633", \ + "-11.403, -11.1327, -10.5938, -9.52214, -7.40425, -3.26974, 4.59407", \ + "-17.7833, -17.513, -12.9765, -11.9049, -9.78702, -5.65252, -1.7862", \ + "-25.9678, -25.6975, -21.161, -22.8516, -17.9715, -17.8345, -9.9707" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.40912, 9.64836, 12.0583, 13.8721, 20.5998, 28.2, 37.8357", \ + "8.37468, 9.61391, 12.0238, 12.5718, 20.5653, 28.1656, 37.8013", \ + "8.3139, 9.55314, 11.963, 12.511, 20.5045, 28.1048, 37.7405", \ + "5.49316, 9.46403, 11.8739, 13.75, 20.4154, 28.0157, 38.7891", \ + "4.69814, 5.93737, 8.34727, 12.8927, 20.8863, 28.4866, 42.1197", \ + "8.59884, 9.83808, 12.248, 16.7934, 20.7895, 28.3898, 42.0229", \ + "11.5207, 12.7599, 15.1698, 16.9238, 23.7113, 35.3091, 44.9448" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.64898, -3.06884, -1.93642, -2.49512, 0.0805263, 6.01956, 14.7629", \ + "-3.97525, -3.39511, -2.26269, -4.10678, -0.245742, 5.69329, 14.4366", \ + "-4.62361, -4.04347, -2.91105, -4.75514, -0.894102, 5.04493, 9.79076", \ + "-8.61572, -9.32098, -8.18856, -4.6875, -2.17411, -0.232583, 9.62891", \ + "-12.3943, -11.8142, -10.6818, -8.52835, -4.6673, 1.27173, 6.01756", \ + "-13.1159, -12.5357, -11.4033, -13.2474, -9.38636, -3.44733, 1.2985", \ + "-21.4847, -20.9045, -19.7721, -20.3809, -17.7552, -11.8161, -7.07031" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.40912, 9.64836, 12.0583, 13.8721, 20.5998, 28.2, 39.6823", \ + "8.37468, 9.61391, 12.0238, 14.0204, 20.5653, 28.1656, 39.6308", \ + "8.3139, 9.55314, 11.963, 13.9248, 20.5045, 28.1048, 39.5351", \ + "5.49316, 9.46403, 11.8739, 13.75, 20.4154, 28.0157, 38.7891", \ + "4.69814, 5.93737, 9.55462, 12.8927, 20.8863, 28.4866, 42.1197", \ + "8.59884, 9.83808, 12.248, 16.7934, 20.7895, 28.3898, 42.0229", \ + "11.5207, 12.7599, 15.1698, 16.9238, 23.7113, 35.3091, 44.9448" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.64898, -3.06884, -1.93642, -2.49512, 0.0805263, 6.01956, 14.7629", \ + "-3.97525, -3.39511, -2.26269, -4.10678, -0.245742, 5.69329, 14.4366", \ + "-4.62361, -4.04347, -2.91105, -4.75514, -0.894102, 5.04493, 9.79076", \ + "-8.61572, -9.32098, -6.33192, -4.6875, -2.17411, 0.992088, 9.62891", \ + "-11.403, -11.1327, -10.5938, -8.52835, -4.6673, 1.27173, 6.01756", \ + "-13.1159, -12.5357, -11.4033, -11.9049, -9.38636, -3.44733, 1.2985", \ + "-21.4847, -20.9045, -19.7721, -20.3809, -17.7552, -11.8161, -7.07031" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.10986, 3.61904, 0.71622, -3.68408, -10.4964, -16.8968, -33.5062", \ + "5.78368, 4.29286, 1.39003, -0.102859, -9.82261, -16.223, -32.8324", \ + "7.0865, 5.59568, 2.69285, 1.19996, -8.51979, -18.9177, -31.5295", \ + "10.603, 8.02212, 5.1193, 0.78125, -6.09335, -16.4912, -31.9629", \ + "13.649, 12.1582, 9.25537, 3.76498, -1.95727, -12.3552, -28.9645", \ + "17.3169, 15.8261, 12.9233, 7.43291, -2.28684, -12.6847, -29.2941", \ + "22.3923, 20.9015, 17.9986, 9.62891, 2.78849, -11.6069, -28.2162" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8342, 14.679, 12.4485, 9.39697, 9.29622, 2.6212, -4.95972", \ + "16.1725, 15.0173, 12.7868, 8.64513, 9.63446, 2.95944, -4.62148", \ + "16.8475, 15.6923, 13.4617, 13.3176, 10.3094, 3.63442, -3.9465", \ + "20.1914, 17.0362, 14.8057, 11.7969, 11.6534, 4.97837, -1.47461", \ + "20.8553, 19.7001, 17.4696, 17.3254, 14.3173, 7.64223, 0.0613127", \ + "26.0868, 24.9316, 22.7011, 22.5569, 19.5488, 12.8738, 5.29283", \ + "36.165, 35.0099, 32.7793, 29.7559, 25.6295, 22.952, 15.3711" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.85899, 4.24704, 1.11607, -3.68408, -11.0703, -17.7154, -31.2015", \ + "6.17116, 4.55921, 1.42824, -4.462, -10.7582, -17.4032, -30.8893", \ + "6.7831, 5.17115, 2.04018, 0.147436, -10.1462, -16.7913, -34.2749", \ + "9.25568, 6.34543, 7.21196, -1.52344, -4.97445, -15.617, -31.9629", \ + "14.1051, 12.4931, 9.36215, 3.47191, -2.82426, -17.4643, -30.9504", \ + "17.6119, 16, 12.869, 6.97878, -3.31488, -13.9575, -31.441", \ + "21.4517, 19.8397, 16.7088, 8.4858, 0.524859, -14.1152, -31.5988" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.0097, 8.3942, 7.18681, 5.9375, 4.74114, -1.71323, -7.2967", \ + "13.7671, 9.15156, 7.94416, 9.64133, 5.49849, -0.955872, -6.53934", \ + "15.2415, 10.626, 9.41863, 11.1158, 6.97296, 0.518593, -5.06488", \ + "15.083, 13.414, 12.2066, 10.9062, 9.76091, 3.30655, -5.15626", \ + "18.964, 18.346, 17.1386, 14.8383, 10.6954, 4.24107, -1.3424", \ + "26.2525, 21.637, 20.4296, 18.1292, 13.9864, 11.5295, 1.94857", \ + "34.5246, 33.9066, 32.6992, 27.5195, 26.256, 19.8017, 10.2207" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.85899, 4.24704, 1.11607, -3.68408, -10.4964, -16.8968, -31.2015", \ + "6.17116, 4.55921, 1.42824, -0.102859, -9.82261, -16.223, -30.8893", \ + "7.0865, 5.59568, 2.69285, 1.19996, -8.51979, -16.7913, -31.5295", \ + "10.603, 8.02212, 7.21196, 0.78125, -4.97445, -15.617, -31.9629", \ + "14.1051, 12.4931, 9.36215, 3.76498, -1.95727, -12.3552, -28.9645", \ + "17.6119, 16, 12.9233, 7.43291, -2.28684, -12.6847, -29.2941", \ + "22.3923, 20.9015, 17.9986, 9.62891, 2.78849, -11.6069, -28.2162" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8342, 14.679, 12.4485, 9.39697, 9.29622, 2.6212, -4.95972", \ + "16.1725, 15.0173, 12.7868, 9.64133, 9.63446, 2.95944, -4.62148", \ + "16.8475, 15.6923, 13.4617, 13.3176, 10.3094, 3.63442, -3.9465", \ + "20.1914, 17.0362, 14.8057, 11.7969, 11.6534, 4.97837, -1.47461", \ + "20.8553, 19.7001, 17.4696, 17.3254, 14.3173, 7.64223, 0.0613127", \ + "26.2525, 24.9316, 22.7011, 22.5569, 19.5488, 12.8738, 5.29283", \ + "36.165, 35.0099, 32.7793, 29.7559, 26.256, 22.952, 15.3711" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0622468, -0.0624259, -0.0630146, -0.061722, -0.0624589, -0.0622093, -0.0619313" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.062531, 0.0637169, 0.0638313, 0.0634555, 0.0638507, 0.0634857, 0.0631993" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0907173, 0.0905214, 0.0910866, 0.0900668, 0.0911604, 0.0906555, 0.0905017" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.08832, -0.0895874, -0.0898765, -0.0894671, -0.0902427, -0.0893483, -0.0890124" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0654053, -0.0659758, -0.0655956, -0.0664475, -0.066495, -0.0655971, -0.0656598" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0695143, 0.0688889, 0.0693098, 0.0695884, 0.0700345, 0.0691638, 0.068573" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0448658, 0.0451118, 0.0447206, 0.0451733, 0.0450838, 0.0444371, 0.0442584" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0439911, -0.0431748, -0.0432915, -0.0434678, -0.0437613, -0.0433033, -0.0431515" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192431, 0.189595, 0.190935, 0.206583, 0.262949, 0.41425, 0.751819" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539375, 0.534899, 0.532061, 0.540274, 0.589016, 0.737526, 1.0872" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.530987, 0.528986, 0.529436, 0.544747, 0.600985, 0.752922, 1.0876" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193723, 0.189067, 0.185958, 0.19456, 0.242288, 0.392044, 0.742409" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.195225, 0.192244, 0.194255, 0.211896, 0.272008, 0.425279, 0.765639" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517464, 0.513458, 0.512548, 0.522817, 0.574682, 0.729072, 1.08421" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514887, 0.512212, 0.513661, 0.530175, 0.591136, 0.744897, 1.08209" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.188906, 0.18477, 0.183824, 0.194127, 0.246065, 0.40032, 0.756127" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0626006, -0.0618714, -0.0619615, -0.0626802, -0.0623916, -0.0624038, -0.0619572" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0626066, 0.0631213, 0.0631437, 0.0632034, 0.0637245, 0.0631464, 0.0631221" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0900706, 0.0903882, 0.0905083, 0.0907363, 0.0910695, 0.0908775, 0.0905071" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0885838, -0.0893872, -0.0891041, -0.0894584, -0.0904769, -0.0893381, -0.0891138" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0624379, -0.0625156, -0.0627535, -0.0628825, -0.062168, -0.0623789, -0.0621427" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0629179, 0.0635062, 0.0630047, 0.0639233, 0.0636107, 0.0633509, 0.0631073" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0500383, 0.050064, 0.0500672, 0.050159, 0.0496531, 0.0498664, 0.0496989" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0500383, -0.050064, -0.0500672, -0.050159, -0.0496531, -0.0498664, -0.0496989" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0470209, -0.0505262, -0.054429, -0.05629, -0.0586884, -0.0590597, -0.0596297" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0627595, 0.0632301, 0.0631751, 0.0629295, 0.0636102, 0.0629855, 0.062909" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0916035, 0.090398, 0.0899936, 0.0890607, 0.089731, 0.0885202, 0.0880866" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0800808, -0.0814583, -0.0835002, -0.0843122, -0.0859946, -0.0853947, -0.0854772" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0444626, -0.0485863, -0.0526004, -0.0556157, -0.0578855, -0.0584376, -0.0591106" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0620058, 0.0623688, 0.0625928, 0.0629298, 0.0628858, 0.0623754, 0.0622364" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0916795, 0.091098, 0.091001, 0.0907118, 0.0904387, 0.0892407, 0.0888396" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0862955, -0.0853748, -0.0870323, -0.0873702, -0.0873843, -0.086693, -0.0864665" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.55772; + rise_capacitance : 1.55287; + rise_capacitance_range (1.32149, 1.55287); + fall_capacitance : 1.55772; + fall_capacitance_range (1.28009, 1.55772); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.04297, -8.8407, -8.43108, -6.26709, -5.83131, -1.98611, 7.00318", \ + "-9.73194, -9.52967, -9.12006, -8.28053, -6.52029, -2.67509, 6.3142", \ + "-11.0496, -10.8473, -10.4377, -9.59816, -7.83793, 0.00477377, 4.99657", \ + "-12.1387, -13.2413, -12.8317, -10.625, -6.23445, -2.38925, 3.73047", \ + "-13.4066, -13.2044, -12.7947, -11.9552, -10.195, -2.35228, 2.63951", \ + "-13.3327, -13.1304, -12.7208, -11.8813, -10.121, -6.27584, 2.71345", \ + "-13.1848, -12.9825, -12.5729, -10.4981, -5.97567, -2.13047, 2.86133" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.57593, 4.15344, 5.29764, 8.80859, 15.8571, 23.7977, 36.9064", \ + "2.74263, 3.32014, 4.46434, 10.7069, 15.0238, 22.9644, 36.0731", \ + "1.12476, 1.70228, 2.84648, 9.08906, 13.4059, 21.3466, 34.4553", \ + "0.0839839, -1.3385, -0.1943, 3.35938, 10.3652, 18.3058, 28.5449", \ + "-7.21779, -2.64277, -1.49857, 0.74651, 5.06339, 13.004, 26.1127", \ + "-10.7047, -10.1272, -8.98296, -2.74038, 1.5765, 9.51712, 22.6258", \ + "-21.1918, -16.6168, -15.4726, -12.0215, -8.91067, -0.970042, 12.1387" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.61566, 8.70435, 10.8256, 12.0728, 17.9834, 24.6676, 39.653", \ + "7.89173, 8.98041, 11.1016, 15.1195, 18.2595, 24.9436, 39.9291", \ + "8.44314, 9.53183, 11.6531, 15.6709, 18.8109, 25.495, 40.4805", \ + "6.77246, 10.6318, 12.7531, 14.0625, 19.9109, 26.595, 38.7012", \ + "7.73438, 8.82307, 10.9443, 14.9622, 22.0996, 28.7838, 43.7692", \ + "12.0667, 13.1553, 15.2766, 19.2944, 26.4319, 33.1161, 48.1015", \ + "20.5505, 21.6392, 23.7604, 28.9844, 34.9158, 45.5974, 56.5854" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.7525, -4.10129, -2.83433, -3.13476, -0.22264, 5.94266, 13.1955", \ + "-5.02765, -4.37644, -3.10947, -0.717345, -0.497788, 5.66751, 12.9204", \ + "-5.60047, -4.94927, -3.6823, -5.28767, -1.07061, 5.09469, 12.3475", \ + "-9.52881, -6.18503, -4.91807, -5.15625, -2.30638, -0.138581, 8.24219", \ + "-13.6657, -13.0145, -11.7476, -9.35544, -5.13838, -2.97058, 8.27977", \ + "-20.7716, -20.1204, -18.8534, -16.4613, -16.2417, -10.0764, -2.82359", \ + "-36.7532, -36.102, -34.8351, -35.2051, -32.2234, -26.0581, -18.8052" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.61566, 8.70435, 10.8256, 12.0728, 17.9834, 24.6676, 39.653", \ + "7.89173, 8.98041, 11.1016, 15.1195, 18.2595, 24.9436, 39.9291", \ + "8.44314, 9.53183, 11.6531, 15.6709, 18.8109, 25.495, 40.4805", \ + "6.77246, 10.6318, 12.7531, 14.0625, 19.9109, 26.595, 38.7012", \ + "7.73438, 8.82307, 10.9443, 14.9622, 22.0996, 28.7838, 43.7692", \ + "12.0667, 13.1553, 15.2766, 19.2944, 26.4319, 33.1161, 48.1015", \ + "20.5505, 21.6392, 23.7604, 28.9844, 34.9158, 45.5974, 56.5854" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.57593, 4.15344, 5.29764, 8.80859, 15.8571, 23.7977, 36.9064", \ + "2.74263, 3.32014, 4.46434, 10.7069, 15.0238, 22.9644, 36.0731", \ + "1.12476, 1.70228, 2.84648, 9.08906, 13.4059, 21.3466, 34.4553", \ + "0.0839839, -1.3385, -0.1943, 3.35938, 10.3652, 18.3058, 28.5449", \ + "-7.21779, -2.64277, -1.49857, 0.74651, 5.06339, 13.004, 26.1127", \ + "-10.7047, -10.1272, -8.98296, -2.74038, 1.5765, 9.51712, 22.6258", \ + "-21.1918, -16.6168, -15.4726, -12.0215, -8.91067, -0.970042, 12.1387" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3425, 16.3523, 14.4372, 14.8666, 12.7759, 5.17927, -2.40722", \ + "18.0736, 17.0833, 15.1683, 15.5977, 13.5069, 5.91031, -1.67619", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.4083, 22.418, 20.503, 16.9349, 14.8441, 11.245, 3.65849", \ + "23.4236, 22.4333, 20.5183, 16.9502, 14.8594, 11.2603, 3.67384", \ + "19.4568, 18.4665, 16.5515, 14.1016, 14.8901, 7.29353, -0.292969" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5161, 9.13817, 6.45849, -1.50391, -7.48893, -16.4062, -30.7502", \ + "11.4963, 10.1184, 7.4387, 2.38372, -6.50871, -15.426, -29.77", \ + "13.3995, 12.0216, 9.34194, 4.28696, -4.60547, -13.5227, -27.8668", \ + "14.0503, 11.6018, 8.92217, 5, -1.02775, -9.945, -22.2891", \ + "19.2201, 17.8422, 15.1626, 10.1076, 1.21516, -7.7021, -22.0462", \ + "24.0433, 22.6654, 19.9857, 14.9307, 10.0358, -2.87898, -13.2255", \ + "35.0388, 33.6609, 30.9812, 23.0469, 17.0338, 8.11653, -6.22754" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.41333, 2.89354, -0.0601136, -4.57275, -11.379, -21.3886, -31.4066", \ + "4.43187, 2.91209, -0.0415724, -5.60524, -11.3605, -21.37, -31.388", \ + "4.45861, 2.93882, -0.014835, -5.5785, -11.3337, -21.3433, -31.3613", \ + "6.4707, 2.95092, -0.00274004, -4.45312, -11.3216, -21.3312, -34.2285", \ + "4.32937, 2.80959, -0.144069, -1.71024, -11.463, -21.4725, -35.488", \ + "7.38214, 5.86235, 2.90869, -2.65497, -12.4077, -22.4173, -36.4328", \ + "2.84436, 1.32457, -1.62909, -9.64614, -16.9455, -26.955, -44.968" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7788, 10.1104, 8.80282, 10.3221, 5.91334, -0.712869, -5.20041", \ + "16.2443, 11.5759, 10.2683, 7.79002, 7.3788, 0.752593, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 11.7759, 5.1497, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 15.2808, 6.79572", \ + "51.3918, 50.7209, 45.4158, 44.9375, 38.5288, 31.9026, 23.4175" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3425, 16.3523, 14.4372, 14.8666, 12.7759, 5.17927, -2.40722", \ + "18.0736, 17.0833, 15.1683, 15.5977, 13.5069, 5.91031, -1.67619", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.4083, 22.418, 20.503, 16.9349, 14.8441, 11.245, 3.65849", \ + "23.4236, 22.4333, 20.5183, 16.9502, 14.8594, 11.2603, 3.67384", \ + "19.4568, 18.4665, 16.5515, 14.1016, 14.8901, 7.29353, -0.292969" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7788, 10.1184, 8.80282, 10.3221, 5.91334, -0.712869, -5.20041", \ + "16.2443, 12.0216, 10.2683, 7.79002, 7.3788, 0.752593, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 11.7759, 5.1497, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 15.2808, 6.79572", \ + "51.3918, 50.7209, 45.4158, 44.9375, 38.5288, 31.9026, 23.4175" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0832588, 0.083336, 0.0959095, 0.137209, 0.248881, 0.516116, 1.10058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.448068, 0.447867, 0.474062, 0.545628, 0.703231, 1.02791, 1.67492" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.428068, 0.426912, 0.440332, 0.481872, 0.595345, 0.862542, 1.44533" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0902807, 0.0897561, 0.115886, 0.187215, 0.344372, 0.667812, 1.31391" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.461461, 0.460697, 0.47285, 0.511315, 0.60918, 0.823964, 1.27712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.61422, 0.60937, 0.623929, 0.668969, 0.777206, 1.00851, 1.49178" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.680175, 0.678447, 0.69058, 0.72907, 0.829235, 1.04379, 1.49674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.390544, 0.386142, 0.399875, 0.444995, 0.551345, 0.7835, 1.26738" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.198143, 0.195995, 0.208467, 0.264816, 0.422981, 0.79517, 1.59112" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.745045, 0.745528, 0.763982, 0.830567, 1.00767, 1.41796, 2.27303" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.74058, 0.737545, 0.749971, 0.807394, 0.965666, 1.33898, 2.13252" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.202749, 0.202904, 0.222298, 0.288734, 0.465636, 0.874467, 1.73147" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0571131, -0.0561512, -0.0441011, -0.0038423, 0.0937434, 0.308431, 0.758392" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376262, 0.378587, 0.397002, 0.446086, 0.554909, 0.785414, 1.25925" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378443, 0.379634, 0.391414, 0.432135, 0.531073, 0.745768, 1.19531" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0548387, -0.0535757, -0.0356405, 0.0114868, 0.120477, 0.35171, 0.824516" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0158641, -0.0190992, -0.00955882, 0.0267272, 0.123557, 0.337736, 0.786591" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376643, 0.372347, 0.383286, 0.427214, 0.532869, 0.760476, 1.23189" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394747, 0.393846, 0.401861, 0.441094, 0.538487, 0.754175, 1.20264" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0603812, -0.0604276, -0.0445757, 0.00238062, 0.11085, 0.34083, 0.813808" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0573722, -0.0568707, -0.0435461, -0.00305906, 0.0947236, 0.310071, 0.758664" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373435, 0.376397, 0.394202, 0.444469, 0.553176, 0.783472, 1.25722" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378789, 0.37926, 0.390517, 0.431807, 0.530346, 0.747194, 1.19461" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0576105, -0.056147, -0.0384328, 0.0095054, 0.117981, 0.349499, 0.822255" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654985; + rise_capacitance : 0.647704; + rise_capacitance_range (0.530179, 0.647704); + fall_capacitance : 0.654985; + fall_capacitance_range (0.549372, 0.654985); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.79291, 7.93481, 10.1605, 11.6284, 17.8908, 25.1932, 36.9202", \ + "6.71206, 7.85396, 10.0797, 10.3012, 17.81, 25.1124, 36.8393", \ + "6.56268, 7.70458, 9.93028, 10.1518, 17.6606, 24.963, 36.6899", \ + "3.56201, 7.45507, 9.68078, 11.2109, 17.4111, 24.7135, 37.5684", \ + "2.80186, 3.94376, 6.16947, 10.3885, 17.8973, 25.1997, 36.9266", \ + "3.77424, 4.91613, 7.14184, 11.3609, 18.8696, 26.172, 41.8965", \ + "5.71899, 6.86089, 9.08659, 14.5117, 20.8144, 28.1168, 43.8412" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.82349, -6.55321, -6.01424, -3.61816, 1.17277, 5.30727, 13.1711", \ + "-7.45662, -7.18635, -6.64738, -5.57576, 0.539635, 4.67414, 8.54045", \ + "-8.70622, -8.43594, -7.89697, -6.82536, -0.709959, 3.42454, 7.29086", \ + "-10.1387, -10.8684, -6.33192, -7.89062, -3.14241, 0.992088, 5.98633", \ + "-15.7367, -11.4689, -10.9299, -9.85829, -7.7404, -3.60589, 4.25792", \ + "-19.8674, -19.5971, -19.0582, -17.9866, -15.8687, -11.7342, -3.87033", \ + "-35.8506, -35.5803, -31.0438, -32.7344, -27.8543, -23.7198, -15.856" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.16205, 6.63463, 9.49027, 12.0728, 20.1199, 24.943, 39.653", \ + "5.45862, 6.93121, 9.78685, 15.14, 20.4164, 25.2396, 39.9496", \ + "6.03055, 7.50313, 10.3588, 15.7119, 20.9884, 25.8115, 40.5215", \ + "4.31885, 8.56212, 11.4178, 14.0625, 18.0499, 26.8705, 38.7012", \ + "4.87055, 6.34313, 9.19877, 14.5519, 19.8284, 28.649, 39.3615", \ + "8.3514, 9.82398, 12.6796, 14.0353, 19.3117, 28.1324, 42.8424", \ + "10.0339, 11.5065, 14.3621, 16.9238, 24.9917, 33.8123, 48.5223" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.50488, -2.33078, -1.97165, 0.0756843, 4.48637, 8.58242, 15.5725", \ + "-3.22918, -3.05508, -2.69595, -1.93402, 3.76207, 7.85812, 14.8482", \ + "-4.64283, -4.46873, -4.1096, -3.34767, 2.34842, 6.44447, 13.4346", \ + "-6.04492, -7.15622, -2.79959, -4.6875, -0.339068, 3.75698, 11.8652", \ + "-8.14857, -7.97447, -7.61534, -6.8534, -1.15732, 2.93873, 9.92883", \ + "-15.5431, -15.369, -15.0099, -10.2505, -8.55189, -4.45584, 2.53425", \ + "-25.3821, -25.208, -24.8489, -22.8516, -18.3908, -14.2948, -7.30469" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.79291, 7.93481, 10.1605, 12.0728, 20.1199, 25.1932, 39.653", \ + "6.71206, 7.85396, 10.0797, 15.14, 20.4164, 25.2396, 39.9496", \ + "6.56268, 7.70458, 10.3588, 15.7119, 20.9884, 25.8115, 40.5215", \ + "4.31885, 8.56212, 11.4178, 14.0625, 18.0499, 26.8705, 38.7012", \ + "4.87055, 6.34313, 9.19877, 14.5519, 19.8284, 28.649, 39.3615", \ + "8.3514, 9.82398, 12.6796, 14.0353, 19.3117, 28.1324, 42.8424", \ + "10.0339, 11.5065, 14.3621, 16.9238, 24.9917, 33.8123, 48.5223" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.50488, -2.33078, -1.97165, 0.0756843, 4.48637, 8.58242, 15.5725", \ + "-3.22918, -3.05508, -2.69595, -1.93402, 3.76207, 7.85812, 14.8482", \ + "-4.64283, -4.46873, -4.1096, -3.34767, 2.34842, 6.44447, 13.4346", \ + "-6.04492, -7.15622, -2.79959, -4.6875, -0.339068, 3.75698, 11.8652", \ + "-8.14857, -7.97447, -7.61534, -6.8534, -1.15732, 2.93873, 9.92883", \ + "-15.5431, -15.369, -15.0099, -10.2505, -8.55189, -4.45584, 2.53425", \ + "-25.3821, -25.208, -24.8489, -22.8516, -18.3908, -14.2948, -7.30469" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.28211, 2.86822, 0.118147, -3.95091, -10.2089, -19.5083, -30.2055", \ + "4.62633, 3.21244, 0.462363, -0.729441, -9.86467, -19.1641, -29.8613", \ + "9.29294, 7.87905, 5.12898, -0.0603277, -9.19555, -18.495, -33.1897", \ + "7.62695, 9.14, 6.38993, -1.53125, -7.9346, -17.234, -30.8008", \ + "12.7667, 11.3528, 8.60274, 3.41343, -5.72179, -15.0212, -29.7159", \ + "11.9584, 10.5445, 7.79447, 6.60267, -2.53256, -11.832, -30.5242", \ + "13.3914, 11.9775, 9.2274, 5.15626, -1.09963, -14.3966, -29.0912" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.6489, 12.4983, 10.2732, 7.2168, 7.04383, 3.47172, -5.39826", \ + "14.313, 13.1625, 10.9373, 10.7884, 7.70798, 4.13587, -4.73411", \ + "15.6253, 14.4748, 12.2497, 12.1007, 9.02032, 5.44821, -3.42177", \ + "20.181, 17.0357, 14.8105, 11.7969, 11.5812, 4.01158, -3.73047", \ + "23.0527, 21.9022, 19.6771, 15.5306, 12.4502, 8.87808, 0.00809998", \ + "31.7648, 30.6143, 28.3891, 24.2426, 21.1623, 17.5901, 8.72017", \ + "49.1027, 47.9521, 45.727, 38.7012, 34.5026, 30.9305, 22.0605" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.33984, 1.99181, -0.636751, -4.57275, -10.52, -19.9886, -33.6331", \ + "3.68465, 2.33661, -0.291948, -5.27898, -10.1752, -19.6438, -33.2883", \ + "4.35573, 3.00769, 0.379132, -4.6079, -9.50413, -18.9728, -32.6172", \ + "6.67481, 8.27324, 5.64469, -2.22656, -8.23607, -17.7047, -34.2285", \ + "11.861, 10.5129, 7.88437, 2.89734, -5.99639, -15.465, -33.107", \ + "15.1546, 9.8091, 7.18054, 2.19351, -2.70271, -16.1688, -29.8133", \ + "16.9992, 15.6512, 13.0226, 5.15626, -0.858137, -14.3243, -31.9662" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9189, 10.2993, 9.08879, 3.83545, 2.62512, -3.86078, -9.52179", \ + "11.6671, 11.0475, 9.83699, 7.53012, 3.37332, -3.11258, -8.77359", \ + "13.1308, 12.5112, 11.3007, 8.99383, 4.83703, -1.64888, -7.30989", \ + "12.981, 11.3104, 10.0998, 8.90625, 7.63367, 1.14776, -7.39258", \ + "17.0001, 16.3806, 15.17, 12.8632, 8.70635, 2.22045, -3.44056", \ + "25.0481, 24.4286, 23.218, 16.9137, 16.7544, 10.2685, 0.609945", \ + "36.7722, 36.1526, 34.9421, 29.7559, 24.4809, 21.9925, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.28211, 2.86822, 0.118147, -3.95091, -10.2089, -19.5083, -30.2055", \ + "4.62633, 3.21244, 0.462363, -0.729441, -9.86467, -19.1641, -29.8613", \ + "9.29294, 7.87905, 5.12898, -0.0603277, -9.19555, -18.495, -32.6172", \ + "7.62695, 9.14, 6.38993, -1.53125, -7.9346, -17.234, -30.8008", \ + "12.7667, 11.3528, 8.60274, 3.41343, -5.72179, -15.0212, -29.7159", \ + "15.1546, 10.5445, 7.79447, 6.60267, -2.53256, -11.832, -29.8133", \ + "16.9992, 15.6512, 13.0226, 5.15626, -0.858137, -14.3243, -29.0912" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.6489, 12.4983, 10.2732, 7.2168, 7.04383, 3.47172, -5.39826", \ + "14.313, 13.1625, 10.9373, 10.7884, 7.70798, 4.13587, -4.73411", \ + "15.6253, 14.4748, 12.2497, 12.1007, 9.02032, 5.44821, -3.42177", \ + "20.181, 17.0357, 14.8105, 11.7969, 11.5812, 4.01158, -3.73047", \ + "23.0527, 21.9022, 19.6771, 15.5306, 12.4502, 8.87808, 0.00809998", \ + "31.7648, 30.6143, 28.3891, 24.2426, 21.1623, 17.5901, 8.72017", \ + "49.1027, 47.9521, 45.727, 38.7012, 34.5026, 30.9305, 22.0605" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179255, 0.175421, 0.175765, 0.191739, 0.244794, 0.381363, 0.680461" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.457917, 0.452748, 0.45081, 0.463555, 0.516176, 0.660635, 0.975614" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437733, 0.434295, 0.434458, 0.450751, 0.504181, 0.640527, 0.93847" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197427, 0.19211, 0.191068, 0.203064, 0.255791, 0.400162, 0.71589" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00506699, -0.0053029, -0.00504795, -0.00517149, -0.00517172, -0.0052778, -0.00529758" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00624932, 0.00628513, 0.00646822, 0.00638481, 0.00636876, 0.00631307, 0.00625577" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102621, 0.103084, 0.102402, 0.102855, 0.102767, 0.10296, 0.102425" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0995944, -0.0998769, -0.100945, -0.101131, -0.101403, -0.100286, -0.0999471" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.175354, 0.17246, 0.175024, 0.195953, 0.258317, 0.408718, 0.732551" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.40535, 0.401794, 0.401751, 0.418999, 0.479864, 0.637079, 0.97757" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394381, 0.391788, 0.393862, 0.415123, 0.47679, 0.627605, 0.95054" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.183774, 0.179718, 0.18016, 0.197089, 0.258114, 0.415539, 0.756279" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0784426, -0.078431, -0.079837, -0.0802242, -0.0804074, -0.0793192, -0.0794076" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0818611, 0.0821405, 0.0826363, 0.0830584, 0.0833736, 0.0826527, 0.0820713" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0351643, 0.0352189, 0.0354998, 0.0354241, 0.0352825, 0.034842, 0.0347096" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0347944, -0.0347994, -0.0343649, -0.0344015, -0.0343645, -0.0339471, -0.0337262" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0611322, -0.0648876, -0.0688004, -0.0710279, -0.0724536, -0.0722901, -0.0727196" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0752781, 0.075835, 0.0760622, 0.0766349, 0.0762075, 0.0765296, 0.0763528" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0958816, 0.0922406, 0.0903751, 0.0892347, 0.0884779, 0.0870711, 0.0865294" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0788466, -0.0798073, -0.0817829, -0.083002, -0.0829898, -0.0833452, -0.0832683" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.01342, -0.0134507, -0.0135092, -0.0135738, -0.013635, -0.0136088, -0.0135419" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.01342, 0.0134507, 0.0135092, 0.0135738, 0.013635, 0.0136088, 0.0135771" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0980794, 0.0985559, 0.0979964, 0.0990097, 0.0992074, 0.09843, 0.0980779" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0943522, -0.0954624, -0.0956158, -0.0964249, -0.0965866, -0.0955958, -0.0950018" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.062076, -0.0658065, -0.0686654, -0.0705463, -0.0712876, -0.0722984, -0.0725081" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0757212, 0.0758025, 0.0768081, 0.077426, 0.0768188, 0.0766266, 0.0765489" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0948972, 0.0917974, 0.0905457, 0.089352, 0.0883305, 0.0879681, 0.0873156" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0830003, -0.083239, -0.0847837, -0.0852563, -0.0844819, -0.0842492, -0.0839626" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0767404, -0.0773838, -0.0776605, -0.0771436, -0.0776631, -0.0776173, -0.0774142" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0770731, 0.0773838, 0.0776605, 0.0785144, 0.0784754, 0.078323, 0.0781479" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0391567, 0.0393025, 0.0389203, 0.0388798, 0.0389669, 0.0387722, 0.0386256" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0388537, -0.0391727, -0.0389203, -0.0388798, -0.0389669, -0.0387619, -0.0382465" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx3_ASAP7_75t_L) { + area : 0.39366; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 2471.54; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1982.44; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2488.87; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2347.92; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2568.98; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2079.88; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2570.47; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2081.37; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2469.31; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1980.21; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2297.7; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2156.74; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2596.46; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2455.5; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2405.29; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2264.33; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1630.05; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2214.42; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1765.64; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2051.19; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1737.42; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2322.01; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1734.96; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2319.78; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1627.82; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2212.19; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1763.42; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2028.93; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1873.23; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2158.78; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 1871.01; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2136.52; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2145.76; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.8689, 41.1018, 44.8823, 51.4445, 62.4482, 81.682, 117.671", \ + "40.2459, 42.48, 46.2648, 52.8274, 63.8231, 83.0718, 119.056", \ + "42.8049, 45.042, 48.8181, 55.3828, 66.3858, 85.6452, 121.617", \ + "46.9742, 49.1976, 52.9809, 59.538, 70.5276, 89.7567, 125.748", \ + "52.9534, 55.1879, 58.9672, 65.5251, 76.5213, 95.755, 131.799", \ + "62.3486, 64.5809, 68.3631, 74.914, 85.902, 105.13, 141.15", \ + "77.1592, 79.392, 83.1595, 89.7121, 100.71, 119.954, 156.184" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.3928, 19.0418, 24.2352, 34.3076, 53.7477, 92.5203, 171.483", \ + "16.3937, 19.0381, 24.2383, 34.3008, 53.7358, 92.5044, 171.505", \ + "16.3892, 19.0356, 24.2569, 34.3038, 53.7497, 92.5377, 171.496", \ + "16.3798, 19.0346, 24.2465, 34.319, 53.7514, 92.5176, 171.505", \ + "16.4211, 19.0636, 24.2798, 34.344, 53.7726, 92.5285, 171.521", \ + "16.4875, 19.228, 24.346, 34.3996, 54.1243, 92.677, 171.561", \ + "16.7703, 19.4067, 24.5957, 34.6188, 54.0118, 93.2454, 172.361" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "38.4447, 40.8162, 44.8952, 51.6949, 62.6013, 81.0423, 114.278", \ + "39.8284, 42.1915, 46.2808, 53.0802, 63.9857, 82.4261, 115.662", \ + "42.418, 44.7817, 48.8709, 55.6694, 66.578, 85.0165, 118.252", \ + "46.3463, 48.7185, 52.7977, 59.5985, 70.5207, 88.9424, 122.177", \ + "52.3036, 54.6805, 58.7594, 65.5487, 76.4487, 94.8362, 128.113", \ + "61.4215, 63.7991, 67.8728, 74.6487, 85.5686, 103.979, 137.232", \ + "76.0959, 78.4705, 82.5433, 89.3292, 100.196, 118.622, 151.78" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.0978, 19.6682, 24.4038, 33.3176, 50.4918, 84.1731, 152.207", \ + "17.0963, 19.673, 24.4056, 33.3184, 50.4932, 84.1733, 152.208", \ + "17.0992, 19.675, 24.4069, 33.3188, 50.4996, 84.1744, 152.223", \ + "17.0789, 19.6554, 24.4018, 33.3201, 50.5156, 84.1833, 152.229", \ + "17.1398, 19.6894, 24.4391, 33.3538, 50.5284, 84.1639, 152.242", \ + "17.1212, 19.7368, 24.4449, 33.3396, 50.6116, 84.2011, 152.23", \ + "17.4269, 19.9835, 24.6945, 33.524, 50.6268, 84.4068, 152.911" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55327, 1.45681, 1.33931, 1.24735, 1.19473, 1.16492, 1.14806", \ + "1.55154, 1.45599, 1.33861, 1.2472, 1.19324, 1.16412, 1.14718", \ + "1.55802, 1.46238, 1.34396, 1.25316, 1.1996, 1.17005, 1.15339", \ + "1.58708, 1.49094, 1.37291, 1.27998, 1.2256, 1.19483, 1.17748", \ + "1.65789, 1.56158, 1.44294, 1.351, 1.29521, 1.2637, 1.24556", \ + "1.82582, 1.73364, 1.60682, 1.52156, 1.48809, 1.43262, 1.40897", \ + "2.18476, 2.08581, 1.96304, 1.86353, 1.80618, 1.83149, 1.84448" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.73746, 1.64294, 1.50922, 1.37962, 1.30154, 1.26073, 1.23733", \ + "1.73673, 1.64023, 1.50833, 1.37879, 1.30058, 1.25986, 1.23656", \ + "1.74537, 1.64897, 1.51706, 1.38752, 1.30931, 1.26856, 1.24525", \ + "1.77448, 1.68125, 1.54778, 1.41997, 1.34133, 1.30006, 1.27627", \ + "1.8491, 1.75461, 1.62161, 1.49222, 1.41257, 1.37155, 1.34743", \ + "2.01014, 1.91545, 1.7821, 1.65245, 1.57106, 1.52909, 1.50674", \ + "2.36949, 2.27196, 2.13313, 1.99942, 1.91643, 1.87334, 1.85029" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.41579, 1.31935, 1.20189, 1.10996, 1.05734, 1.02754, 1.01077", \ + "1.41453, 1.31896, 1.20155, 1.11013, 1.05621, 1.02705, 1.01015", \ + "1.42142, 1.32576, 1.2073, 1.11642, 1.06281, 1.03318, 1.01653", \ + "1.45173, 1.35607, 1.23886, 1.14703, 1.09351, 1.06328, 1.04622", \ + "1.5223, 1.42536, 1.30747, 1.21512, 1.1606, 1.13335, 1.11602", \ + "1.68887, 1.59377, 1.4718, 1.38167, 1.32446, 1.29209, 1.27485", \ + "2.04809, 1.9493, 1.82648, 1.72677, 1.66707, 1.63311, 1.61432" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.57076, 1.47626, 1.3426, 1.21302, 1.135, 1.09428, 1.07082", \ + "1.5705, 1.47403, 1.34219, 1.21268, 1.1345, 1.09394, 1.0706", \ + "1.57998, 1.48361, 1.35174, 1.22224, 1.14401, 1.10345, 1.08011", \ + "1.60755, 1.51335, 1.37946, 1.24769, 1.16768, 1.12571, 1.10144", \ + "1.68318, 1.58755, 1.45294, 1.32034, 1.23996, 1.19445, 1.17253", \ + "1.84447, 1.75084, 1.61638, 1.48532, 1.4139, 1.36287, 1.33706", \ + "2.20421, 2.10681, 1.96859, 1.83425, 1.75038, 1.74526, 1.72151" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.518602; + rise_capacitance : 0.518602; + rise_capacitance_range (0.420474, 0.518602); + fall_capacitance : 0.518322; + fall_capacitance_range (0.411856, 0.518322); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.959, 32.959, 32.959, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "35.4004, 35.4004, 35.4004, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.53085, 0.53098, 0.537073, 0.563765, 0.625822, 0.773438, 1.09131" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720142, 0.71944, 0.725174, 0.750811, 0.813224, 0.961345, 1.27875" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.3282, 0.326331, 0.332927, 0.356281, 0.418062, 0.562992, 0.877403" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476444, 0.474883, 0.483752, 0.510177, 0.581284, 0.738492, 1.07231" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486324, 0.484875, 0.490835, 0.514072, 0.576393, 0.720655, 1.03522" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318435, 0.316528, 0.325885, 0.352972, 0.422827, 0.580178, 0.914321" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.343309, 0.341548, 0.349209, 0.372462, 0.434244, 0.579166, 0.89383" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.461736, 0.460276, 0.468094, 0.496288, 0.566079, 0.72444, 1.05735" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.485818, 0.484257, 0.491401, 0.514457, 0.576714, 0.721362, 1.03598" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318606, 0.317022, 0.325354, 0.353734, 0.422912, 0.581624, 0.914721" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.603369, 0.602101, 0.608231, 0.634023, 0.702291, 0.859867, 1.20315" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.69297, 0.691314, 0.696997, 0.72249, 0.790453, 0.948871, 1.29239" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.535352, 0.534951, 0.540968, 0.56606, 0.629079, 0.776159, 1.09262" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.805267, 0.804639, 0.810311, 0.834404, 0.898048, 1.04499, 1.3606" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322791, 0.321135, 0.327756, 0.351893, 0.412818, 0.557655, 0.871957" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482746, 0.481126, 0.489961, 0.516421, 0.587535, 0.745492, 1.07859" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483942, 0.482634, 0.488617, 0.512614, 0.574077, 0.718284, 1.03258" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321154, 0.31924, 0.328554, 0.355675, 0.425539, 0.583653, 0.917179" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.534284, 0.534301, 0.540597, 0.565516, 0.628543, 0.776355, 1.09243" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.835796, 0.834963, 0.840485, 0.864879, 0.928178, 1.07579, 1.3911" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.323041, 0.32145, 0.328016, 0.352792, 0.413045, 0.557575, 0.872087" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482408, 0.480959, 0.489926, 0.517069, 0.587462, 0.745524, 1.07865" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484673, 0.483391, 0.489186, 0.514093, 0.574734, 0.718819, 1.03311" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321096, 0.318861, 0.328273, 0.355291, 0.425263, 0.583468, 0.916949" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.53048, 0.530736, 0.536964, 0.563624, 0.625509, 0.772823, 1.09068" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.71987, 0.719215, 0.724918, 0.750601, 0.813555, 0.960323, 1.27786" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.328082, 0.326336, 0.332875, 0.357759, 0.417994, 0.562976, 0.877286" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.476307, 0.474748, 0.483739, 0.510847, 0.581242, 0.739301, 1.07241" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486239, 0.484854, 0.490624, 0.51564, 0.576287, 0.72075, 1.03504" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318261, 0.316379, 0.325836, 0.352826, 0.422809, 0.581029, 0.914443" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341728, 0.339863, 0.347644, 0.372429, 0.43271, 0.577691, 0.892422" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462037, 0.460672, 0.46729, 0.496483, 0.567261, 0.724658, 1.05695" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483473, 0.482137, 0.489384, 0.513795, 0.574728, 0.719423, 1.03408" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319419, 0.317985, 0.325177, 0.354601, 0.424473, 0.582261, 0.915083" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.552907, 0.55114, 0.557318, 0.584352, 0.652686, 0.813279, 1.16265" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.682431, 0.681213, 0.686595, 0.713666, 0.781584, 0.942645, 1.29258" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.33826, 0.336379, 0.344218, 0.368732, 0.42919, 0.574129, 0.888796" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.466747, 0.465338, 0.473137, 0.501376, 0.570954, 0.729518, 1.06247" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.478701, 0.47709, 0.484338, 0.508418, 0.569591, 0.714269, 1.02895" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325674, 0.324151, 0.332461, 0.360902, 0.430382, 0.588756, 0.921874" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.598821, 0.597334, 0.6035, 0.629208, 0.697855, 0.85495, 1.20009" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.679529, 0.677844, 0.683591, 0.709009, 0.777319, 0.935269, 1.28055" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.612843; + rise_capacitance : 0.607496; + rise_capacitance_range (0.505551, 0.607496); + fall_capacitance : 0.612843; + fall_capacitance_range (0.544917, 0.612843); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.22992, 6.57553, 9.18602, 11.4307, 18.5916, 26.4384, 37.4602", \ + "5.52543, 6.87104, 9.48153, 14.3797, 18.8871, 26.734, 37.7557", \ + "6.09428, 7.43988, 10.0504, 10.951, 19.4559, 27.3028, 38.3245", \ + "4.48975, 4.49135, 7.10185, 14, 16.5074, 24.3543, 36.5137", \ + "4.88884, 6.23445, 8.84494, 13.7431, 18.2505, 26.0974, 37.1191", \ + "4.11673, 5.46233, 8.07283, 12.971, 17.4784, 25.3253, 40.3445", \ + "5.41137, 6.75698, 9.36747, 16.2656, 18.773, 30.6174, 41.6391" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.01129, -4.35236, -3.07279, -3.26172, -0.464987, 1.48558, 11.5744", \ + "-5.40909, -4.75016, -7.46809, -5.06211, -0.862787, 1.08778, 11.1766", \ + "-10.1927, -9.53382, -8.25424, -5.84826, -1.64894, 0.30162, 6.39295", \ + "-10.3442, -7.07086, -9.78879, -5.9375, -3.18349, -1.23293, 5.98633", \ + "-10.6478, -9.98889, -8.70932, -10.3008, -6.10152, -4.15096, 5.93787", \ + "-15.8796, -15.2207, -13.9411, -11.5351, -11.3333, -5.38524, 0.706089", \ + "-23.9261, -23.2672, -21.9876, -22.3047, -19.3798, -17.4292, -11.3379" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.20215, 8.0294, 9.65273, 14.1187, 18.5196, 28.0139, 39.0198", \ + "6.97599, 7.80325, 9.42658, 12.5485, 18.2934, 27.7877, 38.7936", \ + "6.55435, 7.38161, 9.00494, 12.1269, 17.8718, 27.3661, 38.372", \ + "7.17773, 6.66099, 12.2818, 12.8125, 21.1487, 26.6454, 38.7891", \ + "6.84588, 7.67313, 9.29646, 16.4159, 22.1608, 27.6576, 42.661", \ + "8.87015, 9.6974, 11.3207, 14.4427, 20.1876, 29.6819, 44.6853", \ + "12.9187, 13.7459, 15.3693, 19.7363, 24.2362, 33.7304, 48.7338" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.56109, -2.9772, -1.83614, -2.29492, 0.264246, 6.39885, 11.825", \ + "-4.05574, -3.47184, -2.33078, -0.155589, -0.230396, 5.90421, 11.3303", \ + "-5.02384, -4.43994, -3.29888, -5.12119, -1.1985, 4.93611, 10.3622", \ + "-9.50928, -6.29141, -5.15035, -5.54687, -3.04996, 3.08464, 9.62891", \ + "-10.2393, -9.6554, -8.51434, -6.33915, -6.41396, -0.279348, 5.14676", \ + "-15.6115, -15.0276, -13.8866, -11.7114, -7.78868, -5.65157, 3.77203", \ + "-20.9329, -20.349, -19.208, -19.7559, -17.1076, -14.9705, -5.54687" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.20215, 8.0294, 9.65273, 14.1187, 18.5916, 28.0139, 39.0198", \ + "6.97599, 7.80325, 9.48153, 14.3797, 18.8871, 27.7877, 38.7936", \ + "6.55435, 7.43988, 10.0504, 12.1269, 19.4559, 27.3661, 38.372", \ + "7.17773, 6.66099, 12.2818, 14, 21.1487, 26.6454, 38.7891", \ + "6.84588, 7.67313, 9.29646, 16.4159, 22.1608, 27.6576, 42.661", \ + "8.87015, 9.6974, 11.3207, 14.4427, 20.1876, 29.6819, 44.6853", \ + "12.9187, 13.7459, 15.3693, 19.7363, 24.2362, 33.7304, 48.7338" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.56109, -2.9772, -1.83614, -2.29492, 0.264246, 6.39885, 11.825", \ + "-4.05574, -3.47184, -2.33078, -0.155589, -0.230396, 5.90421, 11.3303", \ + "-5.02384, -4.43994, -3.29888, -5.12119, -1.1985, 4.93611, 10.3622", \ + "-9.50928, -6.29141, -5.15035, -5.54687, -3.04996, 3.08464, 9.62891", \ + "-10.2393, -9.6554, -8.51434, -6.33915, -6.10152, -0.279348, 5.93787", \ + "-15.6115, -15.0276, -13.8866, -11.5351, -7.78868, -5.38524, 3.77203", \ + "-20.9329, -20.349, -19.208, -19.7559, -17.1076, -14.9705, -5.54687" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.10986, 3.61904, 0.71622, -3.68408, -10.4964, -16.8968, -33.5062", \ + "5.78368, 4.29286, 1.39003, -0.102859, -9.82261, -16.223, -32.8324", \ + "7.0865, 5.59568, 2.69285, 1.19996, -8.51979, -18.9177, -31.5295", \ + "10.603, 8.02212, 5.1193, 0.78125, -6.09335, -16.4912, -31.9629", \ + "13.649, 12.1582, 9.25537, 3.76498, -1.95727, -12.3552, -28.9645", \ + "17.3169, 15.8261, 12.9233, 7.43291, 1.71066, -12.6847, -29.2941", \ + "22.3923, 20.9015, 17.9986, 9.62891, 2.78849, -7.6094, -28.2162" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.0128, 13.9861, 12.0015, 9.39697, 6.01399, 2.6212, -4.95972", \ + "15.351, 14.3244, 12.3397, 12.6426, 6.35224, 2.95944, -4.62148", \ + "16.026, 14.9993, 13.0147, 13.3176, 11.0247, 3.63442, -3.9465", \ + "18.5485, 16.3433, 14.3587, 11.7969, 12.3687, 4.97837, -1.47461", \ + "20.0338, 19.0072, 17.0225, 17.3254, 11.035, 7.64223, 0.0613127", \ + "29.2628, 24.2387, 22.254, 22.5569, 16.2666, 12.8738, 5.29283", \ + "39.3411, 38.3144, 32.3323, 29.7559, 26.3448, 22.952, 15.3711" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.23438, 3.72015, 0.776143, -3.68408, -10.5265, -20.6251, -31.2015", \ + "5.54208, 4.02786, 1.08385, -4.46647, -10.2187, -20.3174, -30.8938", \ + "6.14955, 4.63533, 1.69132, 0.138505, -9.61128, -19.71, -34.2838", \ + "8.42285, 9.81604, 6.87203, -1.52344, -8.42806, -18.5267, -31.9629", \ + "13.5698, 12.0555, 9.11154, 3.56122, -6.18856, -16.2872, -30.8611", \ + "17.5411, 16.0269, 13.0828, 7.53253, -2.21725, -12.3159, -30.8873", \ + "23.4529, 21.9387, 14.9972, 10.8771, 3.69458, -10.4016, -28.9729" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.0097, 8.3942, 7.18681, 5.9375, 4.74114, -1.71323, -7.2967", \ + "13.7671, 13.1491, 7.94416, 9.64133, 5.49849, -0.955872, -6.53934", \ + "15.2415, 14.6235, 9.41863, 11.1158, 6.97296, 0.518593, -5.06488", \ + "15.083, 13.414, 12.2066, 10.9062, 9.76091, 3.30655, -5.15626", \ + "18.964, 18.346, 17.1386, 14.8383, 10.6954, 4.24107, -1.3424", \ + "26.2525, 21.637, 20.4296, 18.1292, 13.9864, 11.5295, 1.94857", \ + "34.5246, 33.9066, 32.6992, 27.5195, 26.256, 19.8017, 10.2207" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.23438, 3.72015, 0.776143, -3.68408, -10.4964, -16.8968, -31.2015", \ + "5.78368, 4.29286, 1.39003, -0.102859, -9.82261, -16.223, -30.8938", \ + "7.0865, 5.59568, 2.69285, 1.19996, -8.51979, -18.9177, -31.5295", \ + "10.603, 9.81604, 6.87203, 0.78125, -6.09335, -16.4912, -31.9629", \ + "13.649, 12.1582, 9.25537, 3.76498, -1.95727, -12.3552, -28.9645", \ + "17.5411, 16.0269, 13.0828, 7.53253, 1.71066, -12.3159, -29.2941", \ + "23.4529, 21.9387, 17.9986, 10.8771, 3.69458, -7.6094, -28.2162" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.0128, 13.9861, 12.0015, 9.39697, 6.01399, 2.6212, -4.95972", \ + "15.351, 14.3244, 12.3397, 12.6426, 6.35224, 2.95944, -4.62148", \ + "16.026, 14.9993, 13.0147, 13.3176, 11.0247, 3.63442, -3.9465", \ + "18.5485, 16.3433, 14.3587, 11.7969, 12.3687, 4.97837, -1.47461", \ + "20.0338, 19.0072, 17.1386, 17.3254, 11.035, 7.64223, 0.0613127", \ + "29.2628, 24.2387, 22.254, 22.5569, 16.2666, 12.8738, 5.29283", \ + "39.3411, 38.3144, 32.6992, 29.7559, 26.3448, 22.952, 15.3711" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0622068, -0.0623672, -0.0629561, -0.0618557, -0.0624008, -0.0621513, -0.0618731" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625946, 0.0637741, 0.0638887, 0.0633443, 0.0639082, 0.0635435, 0.063257" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0907757, 0.0905736, 0.0911385, 0.0904988, 0.0912125, 0.0907076, 0.090553" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.088262, -0.0895235, -0.0898122, -0.0893158, -0.0901781, -0.0892839, -0.0889479" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0653473, -0.0659174, -0.0655379, -0.0663894, -0.0664369, -0.0655391, -0.0656018" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0695713, 0.0689464, 0.0693673, 0.0696458, 0.0700919, 0.0692213, 0.0686306" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0449174, 0.0451633, 0.0447727, 0.0452245, 0.0451349, 0.0444883, 0.0443095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0439272, -0.0431106, -0.0432272, -0.0434035, -0.0436969, -0.043239, -0.043087" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192733, 0.189747, 0.191067, 0.206737, 0.263279, 0.414416, 0.75199" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.539374, 0.535057, 0.532053, 0.540272, 0.587651, 0.737556, 1.08724" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.53123, 0.529082, 0.529538, 0.544865, 0.602077, 0.753031, 1.08771" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193782, 0.189149, 0.186012, 0.19462, 0.242393, 0.392136, 0.742511" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.19535, 0.192374, 0.194454, 0.212055, 0.272162, 0.425444, 0.765804" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517656, 0.513458, 0.512531, 0.522289, 0.574714, 0.728679, 1.08419" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514855, 0.512243, 0.513825, 0.531069, 0.591229, 0.745006, 1.0822" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.189418, 0.18483, 0.183886, 0.193941, 0.246164, 0.400216, 0.756189" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625426, -0.0618146, -0.0619046, -0.0626226, -0.0623334, -0.0623459, -0.0618993" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.062676, 0.0631789, 0.0629743, 0.0632592, 0.0637821, 0.0630822, 0.0631797" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.090122, 0.0904425, 0.0905617, 0.0907892, 0.0911196, 0.0909286, 0.0905582" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0885305, -0.0893229, -0.0888916, -0.089393, -0.0904124, -0.0892001, -0.0890494" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0623801, -0.0624574, -0.0626952, -0.0628243, -0.0621097, -0.0623208, -0.0620848" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0629755, 0.0635634, 0.063062, 0.0639809, 0.0636683, 0.0634084, 0.0631651" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0500895, 0.0501152, 0.0501188, 0.0502103, 0.0497037, 0.0499176, 0.04975" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0500895, -0.0501152, -0.0501188, -0.0502103, -0.0497037, -0.0499176, -0.0496363" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0469681, -0.0504732, -0.0543752, -0.0560716, -0.0586324, -0.0590032, -0.059573" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0628177, 0.0633229, 0.0632305, 0.0630791, 0.0636688, 0.062902, 0.0629677" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0916528, 0.0904462, 0.0900419, 0.0883909, 0.0897799, 0.0885694, 0.0881361" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0800152, -0.0814724, -0.0834332, -0.0843105, -0.0859291, -0.0852196, -0.0854117" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0443712, -0.0485324, -0.0525457, -0.0555598, -0.057829, -0.0583805, -0.0590533" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0620634, 0.0624286, 0.0626515, 0.0628939, 0.0629437, 0.0624333, 0.0622943" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0915391, 0.0911453, 0.0910491, 0.0907604, 0.0904881, 0.0892904, 0.0888895" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.08623, -0.085308, -0.0869672, -0.087236, -0.0873189, -0.0866278, -0.0864011" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.55723; + rise_capacitance : 1.55241; + rise_capacitance_range (1.32047, 1.55241); + fall_capacitance : 1.55723; + fall_capacitance_range (1.27884, 1.55723); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.69195, -7.05358, -9.80986, -6.06689, -3.35699, -1.40257, 9.404", \ + "-12.1272, -11.4888, -10.2476, -7.90724, -3.79475, -1.84033, 4.96874", \ + "-12.9655, -12.3272, -11.0859, -8.74556, -4.63307, -2.67866, 4.13042", \ + "-13.1104, -9.85754, -12.6138, -8.82812, -6.16095, -4.20653, 3.73047", \ + "-14.5736, -13.9353, -12.694, -10.3537, -6.24116, -4.28675, 2.52233", \ + "-14.7341, -14.0957, -12.8545, -10.5141, -10.3991, -4.44718, 2.36189", \ + "-11.0574, -10.4191, -9.17785, -9.56055, -6.72247, -4.76806, 6.03852" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.99262, 5.10972, 7.28447, 8.74268, 14.6709, 21.4131, 35.6686", \ + "3.35596, 4.47306, 6.64781, 10.7595, 14.0343, 20.7765, 35.032", \ + "2.11156, 3.22866, 5.40342, 9.51513, 12.7899, 19.5321, 33.7876", \ + "-2.93457, 0.855568, 3.03032, 4.53125, 10.4168, 17.159, 28.5449", \ + "-4.54496, -3.42786, -1.2531, 2.85861, 6.13334, 12.8756, 27.1311", \ + "-11.2607, -10.1436, -7.96886, -3.85715, -0.582417, 10.1573, 20.4153", \ + "-21.2854, -16.1708, -13.9961, -12.6367, -6.6096, 0.132626, 14.3881" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.48383, 8.58097, 10.7201, 12.085, 18.0121, 24.9471, 40.6809", \ + "7.59607, 8.6932, 10.8323, 14.8898, 18.1243, 25.0593, 40.7931", \ + "7.83683, 8.93396, 11.0731, 15.1305, 18.3651, 25.3001, 41.0339", \ + "5.69092, 9.48062, 11.6197, 13.0469, 18.9117, 29.8442, 38.7012", \ + "9.73735, 10.8345, 12.9736, 17.0311, 24.2631, 31.1981, 42.9344", \ + "13.4873, 14.5844, 16.7235, 20.781, 28.013, 34.9481, 46.6843", \ + "21.1584, 22.2556, 24.3947, 29.6973, 35.6842, 46.6167, 58.353" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.81787, -1.59807, -1.15029, -2.85644, 1.62546, 5.56393, 14.2551", \ + "-6.2648, -6.0425, -1.59721, -0.688928, 1.17853, 5.117, 13.8081", \ + "-7.16077, -6.93847, -6.49069, -1.5849, 0.282561, 4.22103, 8.91468", \ + "-7.57813, -8.73888, -4.2936, -5.9375, -1.51785, 2.42062, 8.24219", \ + "-12.5959, -12.3736, -11.9258, -7.02002, -5.15256, -1.21409, 7.47706", \ + "-20.0008, -19.7785, -19.3307, -18.4225, -12.5575, -8.61902, 0.072121", \ + "-35.3528, -35.1305, -34.6827, -32.5, -31.907, -27.9685, -19.2773" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.48383, 8.58097, 10.7201, 12.085, 18.0121, 24.9471, 40.6809", \ + "7.59607, 8.6932, 10.8323, 14.8898, 18.1243, 25.0593, 40.7931", \ + "7.83683, 8.93396, 11.0731, 15.1305, 18.3651, 25.3001, 41.0339", \ + "5.69092, 9.48062, 11.6197, 13.0469, 18.9117, 29.8442, 38.7012", \ + "9.73735, 10.8345, 12.9736, 17.0311, 24.2631, 31.1981, 42.9344", \ + "13.4873, 14.5844, 16.7235, 20.781, 28.013, 34.9481, 46.6843", \ + "21.1584, 22.2556, 24.3947, 29.6973, 35.6842, 46.6167, 58.353" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.99262, 5.10972, 7.28447, 8.74268, 14.6709, 21.4131, 35.6686", \ + "3.35596, 4.47306, 6.64781, 10.7595, 14.0343, 20.7765, 35.032", \ + "2.11156, 3.22866, 5.40342, 9.51513, 12.7899, 19.5321, 33.7876", \ + "-2.93457, 0.855568, 3.03032, 4.53125, 10.4168, 17.159, 28.5449", \ + "-4.54496, -3.42786, -1.2531, 2.85861, 6.13334, 12.8756, 27.1311", \ + "-11.2607, -10.1436, -7.96886, -3.85715, -0.582417, 10.1573, 20.4153", \ + "-21.2854, -16.1708, -13.9961, -12.6367, -6.6096, 0.132626, 14.3881" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3389, 16.3487, 14.4336, 14.863, 12.7723, 5.17567, -2.41083", \ + "18.0664, 17.0761, 15.1611, 15.5905, 13.4997, 5.90311, -1.68339", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.7108, 18.7231, 20.8055, 17.2375, 15.1467, 11.5476, 3.96108", \ + "24.3314, 19.3436, 21.4261, 17.858, 15.7672, 12.1681, 0.584121", \ + "21.575, 20.5847, 18.6697, 16.1016, 13.0108, 9.41169, 1.8252" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5161, 9.13817, 6.45849, -1.50391, -7.48893, -16.4062, -30.7502", \ + "11.4963, 10.1184, 7.4387, 2.38372, -6.50871, -15.426, -29.77", \ + "13.3995, 12.0216, 9.34194, 4.28696, -4.60547, -13.5227, -27.8668", \ + "14.0503, 11.6018, 8.92217, 5, -1.02775, -9.945, -22.2891", \ + "19.2201, 17.8422, 15.1626, 10.1076, 1.21516, -7.7021, -22.0462", \ + "24.0433, 22.6654, 19.9857, 14.9307, 10.0358, -2.87898, -13.2255", \ + "35.0388, 33.6609, 30.9812, 23.0469, 17.0338, 8.11653, -6.22754" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.41333, 2.89354, -0.0601136, -4.57275, -11.379, -21.3886, -31.4066", \ + "4.42879, 2.90901, -0.0446513, -5.60832, -11.3635, -21.3731, -31.3911", \ + "4.45245, 2.93267, -0.0209928, -1.58716, -11.3399, -21.3494, -31.3674", \ + "6.4707, 2.95092, -0.00274004, -4.45312, -11.3216, -21.3312, -34.2285", \ + "8.38845, 2.87117, 3.91501, -1.64866, -11.4014, -21.4109, -35.4264", \ + "7.76392, 2.24663, 3.29047, -2.27319, -12.0259, -22.0355, -36.051", \ + "0.657233, -0.862553, -3.81621, -8.26171, -15.1351, -25.1447, -43.1577" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7788, 10.1104, 8.80282, 10.3221, 5.91334, -0.712869, -5.20041", \ + "16.2443, 15.5734, 10.2683, 7.79002, 7.3788, 0.752593, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 11.7759, 5.1497, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 15.2808, 6.79572", \ + "51.3918, 50.7209, 45.4158, 44.9375, 38.5288, 31.9026, 23.4175" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.9604, 15.9702, 14.0552, 11.5771, 8.39629, 4.79719, -2.78931", \ + "17.3389, 16.3487, 14.4336, 14.863, 12.7723, 5.17567, -2.41083", \ + "18.0664, 17.0761, 15.1611, 15.5905, 13.4997, 5.90311, -1.68339", \ + "20.4736, 18.4128, 16.4978, 14.0625, 14.8364, 7.23981, 0.781245", \ + "23.7108, 18.7231, 20.8055, 17.2375, 15.1467, 11.5476, 3.96108", \ + "24.3314, 19.3436, 21.4261, 17.858, 15.7672, 12.1681, 0.584121", \ + "21.575, 20.5847, 18.6697, 16.1016, 13.0108, 9.41169, 1.8252" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, -1.45271, -5.94025", \ + "14.7788, 10.1184, 8.80282, 10.3221, 5.91334, -0.712869, -5.20041", \ + "16.2443, 15.5734, 10.2683, 7.79002, 7.3788, 0.752593, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 11.7759, 5.1497, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 15.2808, 6.79572", \ + "51.3918, 50.7209, 45.4158, 44.9375, 38.5288, 31.9026, 23.4175" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0829147, 0.0841231, 0.0967521, 0.137831, 0.249648, 0.516899, 1.10133" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.447513, 0.447315, 0.473596, 0.545066, 0.702199, 1.02735, 1.67429" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.428177, 0.42757, 0.440655, 0.482935, 0.59602, 0.863202, 1.44593" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0898647, 0.0893428, 0.115654, 0.186792, 0.343728, 0.667394, 1.31343" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.463188, 0.461408, 0.473562, 0.51229, 0.609873, 0.824642, 1.27777" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.613737, 0.609945, 0.623729, 0.668492, 0.776701, 1.00755, 1.49128" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.680202, 0.679081, 0.691197, 0.730041, 0.829855, 1.04439, 1.4973" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.390141, 0.387322, 0.399566, 0.444489, 0.550923, 0.78259, 1.26697" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.199031, 0.195641, 0.209316, 0.265666, 0.4239, 0.796019, 1.59197" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.744675, 0.744927, 0.763324, 0.830239, 1.00699, 1.41733, 2.27241" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.741181, 0.738628, 0.750666, 0.808051, 0.965946, 1.33964, 2.1332" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.202708, 0.202557, 0.221839, 0.288401, 0.465162, 0.874035, 1.73103" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0570049, -0.0561159, -0.0440035, -0.0037465, 0.0937997, 0.308519, 0.758488" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376339, 0.378715, 0.397075, 0.446155, 0.55497, 0.785737, 1.2593" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378407, 0.379812, 0.391411, 0.432068, 0.53117, 0.745738, 1.19527" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.054631, -0.0532823, -0.0354374, 0.011688, 0.120621, 0.351742, 0.824691" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0157731, -0.0190062, -0.00947752, 0.0267102, 0.12365, 0.33783, 0.786688" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376443, 0.372431, 0.383362, 0.427904, 0.532932, 0.760275, 1.23194" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394702, 0.393813, 0.401854, 0.440645, 0.538394, 0.754138, 1.20261" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.060316, -0.060223, -0.0443741, 0.00335161, 0.111043, 0.341206, 0.813986" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0572639, -0.0566999, -0.0434496, -0.00297424, 0.094817, 0.310173, 0.758927" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373504, 0.376472, 0.394269, 0.444539, 0.553246, 0.783761, 1.25726" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378782, 0.37923, 0.390554, 0.431817, 0.530315, 0.747165, 1.19483" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0574039, -0.05594, -0.0382334, 0.0097075, 0.11817, 0.34952, 0.822425" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.654761; + rise_capacitance : 0.647565; + rise_capacitance_range (0.530321, 0.647565); + fall_capacitance : 0.654761; + fall_capacitance_range (0.549273, 0.654761); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.70014, 7.85029, 10.0937, 11.6797, 17.9625, 25.5365, 38.1043", \ + "6.43114, 7.58129, 9.82467, 14.0837, 17.6935, 25.2675, 37.8353", \ + "5.92445, 7.0746, 9.31798, 13.577, 17.1869, 24.7608, 37.3286", \ + "2.36328, 6.18647, 8.42985, 10.0781, 16.2987, 23.8727, 37.5684", \ + "1.76748, 6.91513, 9.15851, 13.4176, 17.0274, 24.6014, 37.1691", \ + "3.2248, 4.37495, 6.61833, 10.8774, 18.4847, 26.0587, 38.6264", \ + "6.13944, 11.2871, 13.5305, 15.3184, 21.3994, 28.9733, 45.5386" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.01129, -4.35236, -3.07279, -3.26172, -0.464987, 5.48308, 11.5744", \ + "-5.39175, -4.73282, -3.45325, -5.04477, -0.845448, 1.10512, 11.1939", \ + "-6.16057, -5.50164, -4.22207, -5.81359, -1.61427, 0.336298, 10.4251", \ + "-10.3442, -7.07086, -9.78879, -5.9375, -3.18349, -1.23293, 5.98633", \ + "-14.9921, -14.3332, -13.0536, -10.6476, -6.4483, -4.49774, 5.5911", \ + "-22.0271, -21.3682, -20.0886, -17.6827, -13.4833, -11.5328, -1.44394", \ + "-34.1214, -33.4625, -32.1829, -32.5, -29.5751, -23.627, -17.5357" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.48383, 8.58097, 10.7201, 12.085, 18.0121, 28.9446, 40.6809", \ + "7.61301, 8.71014, 10.8492, 14.9067, 18.1413, 25.0763, 40.81", \ + "7.87071, 8.96785, 11.1069, 15.1644, 18.399, 25.334, 41.0677", \ + "5.69092, 9.48062, 11.6197, 13.0469, 18.9117, 25.8468, 38.7012", \ + "5.40105, 6.49818, 12.6348, 16.6922, 19.9268, 26.8618, 42.5956", \ + "7.38918, 8.48632, 10.6254, 14.6829, 21.9149, 28.8499, 44.5837", \ + "11.1975, 12.2946, 14.4337, 19.7363, 25.7232, 36.6558, 48.392" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.958555, -0.354132, 0.825242, 0.43213, 3.07879, 9.213, 17.9343", \ + "-5.42203, -0.820109, -3.63823, -1.39737, 2.61281, 8.74702, 13.4708", \ + "-6.34368, -5.73926, -4.55988, -2.31902, 1.69117, 7.82537, 12.5491", \ + "-6.78223, -3.54383, -2.36446, -2.69531, -0.110909, 6.0233, 11.8652", \ + "-7.58751, -6.98309, -5.80372, -7.56035, -3.55017, 2.58404, 11.3053", \ + "-13.8065, -13.2021, -12.0227, -9.78183, -9.76915, -3.63494, 5.08634", \ + "-23.6063, -23.0018, -21.8225, -22.3047, -19.5689, -13.4347, -8.71094" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.48383, 8.58097, 10.7201, 12.085, 18.0121, 28.9446, 40.6809", \ + "7.61301, 8.71014, 10.8492, 14.9067, 18.1413, 25.2675, 40.81", \ + "7.87071, 8.96785, 11.1069, 15.1644, 18.399, 25.334, 41.0677", \ + "5.69092, 9.48062, 11.6197, 13.0469, 18.9117, 25.8468, 38.7012", \ + "5.40105, 6.91513, 12.6348, 16.6922, 19.9268, 26.8618, 42.5956", \ + "7.38918, 8.48632, 10.6254, 14.6829, 21.9149, 28.8499, 44.5837", \ + "11.1975, 12.2946, 14.4337, 19.7363, 25.7232, 36.6558, 48.392" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.958555, -0.354132, 0.825242, 0.43213, 3.07879, 9.213, 17.9343", \ + "-5.39175, -0.820109, -3.45325, -1.39737, 2.61281, 8.74702, 13.4708", \ + "-6.16057, -5.50164, -4.22207, -2.31902, 1.69117, 7.82537, 12.5491", \ + "-6.78223, -3.54383, -2.36446, -2.69531, -0.110909, 6.0233, 11.8652", \ + "-7.58751, -6.98309, -5.80372, -7.56035, -3.55017, 2.58404, 11.3053", \ + "-13.8065, -13.2021, -12.0227, -9.78183, -9.76915, -3.63494, 5.08634", \ + "-23.6063, -23.0018, -21.8225, -22.3047, -19.5689, -13.4347, -8.71094" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.38787, 5.31131, 3.20325, -3.46877, -8.18322, -20.0002, -32.0972", \ + "7.0317, 5.95514, 3.84709, -4.18626, -7.53939, -19.3564, -31.4534", \ + "8.27068, 7.19412, 5.08606, -2.94728, -6.30041, -18.1174, -30.2144", \ + "7.62695, 9.47734, 3.37178, 0.46875, -8.01469, -15.8342, -30.8008", \ + "10.3439, 9.26733, 7.15928, 3.12344, -4.22719, -16.0442, -28.1412", \ + "12.5691, 11.4925, 9.38449, 5.34865, -2.00198, -13.819, -29.9135", \ + "15.2561, 14.1795, 12.0714, 5.15626, 0.684965, -11.132, -31.2241" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4718, 13.4427, 11.4499, 8.79639, 5.32135, 0.699379, -3.79914", \ + "14.8819, 13.8528, 11.86, 8.13597, 5.73149, 1.10952, -3.389", \ + "15.7118, 14.6827, 12.6899, 12.9634, 6.56138, 5.93691, -2.55911", \ + "18.6286, 16.3809, 14.3881, 11.7969, 12.2571, 3.63761, -3.73047", \ + "24.9576, 23.9285, 21.9357, 18.2117, 15.8072, 7.18775, -1.30827", \ + "32.6728, 31.6437, 29.6509, 25.9269, 23.5224, 14.9029, 6.40691", \ + "46.5653, 45.5362, 43.5434, 40.9375, 37.4149, 28.7954, 24.2969" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.33984, 1.99181, -0.636751, -4.57275, -10.52, -19.9886, -33.6331", \ + "3.68465, 2.33661, -0.291948, -5.27898, -10.1752, -19.6438, -33.2883", \ + "8.35323, 3.00769, 0.379132, -0.610397, -9.50413, -18.9728, -32.6172", \ + "6.67481, 8.27324, 5.64469, -2.22656, -8.23607, -17.7047, -34.2285", \ + "11.861, 10.5129, 7.88437, 2.89734, -5.99639, -15.465, -33.107", \ + "15.1546, 13.8066, 7.18054, 2.19351, -2.70271, -16.1688, -29.8133", \ + "16.9992, 15.6512, 13.0226, 5.15626, -0.858137, -14.3243, -31.9662" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9189, 10.2993, 9.08879, 3.83545, 2.62512, -3.86078, -9.52179", \ + "11.6671, 11.0475, 9.83699, 7.53012, 3.37332, -3.11258, -8.77359", \ + "13.1308, 12.5112, 11.3007, 8.99383, 4.83703, -1.64888, -7.30989", \ + "12.981, 11.3104, 10.0998, 8.90625, 7.63367, 1.14776, -7.39258", \ + "17.0001, 16.3806, 15.17, 12.8632, 8.70635, 2.22045, -3.44056", \ + "25.0481, 24.4286, 23.218, 16.9137, 16.7544, 10.2685, 0.609945", \ + "36.7722, 36.1526, 34.9421, 29.7559, 28.4784, 21.9925, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.38787, 5.31131, 3.20325, -3.46877, -8.18322, -19.9886, -32.0972", \ + "7.0317, 5.95514, 3.84709, -4.18626, -7.53939, -19.3564, -31.4534", \ + "8.35323, 7.19412, 5.08606, -0.610397, -6.30041, -18.1174, -30.2144", \ + "7.62695, 9.47734, 5.64469, 0.46875, -8.01469, -15.8342, -30.8008", \ + "11.861, 10.5129, 7.88437, 3.12344, -4.22719, -15.465, -28.1412", \ + "15.1546, 13.8066, 9.38449, 5.34865, -2.00198, -13.819, -29.8133", \ + "16.9992, 15.6512, 13.0226, 5.15626, 0.684965, -11.132, -31.2241" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4718, 13.4427, 11.4499, 8.79639, 5.32135, 0.699379, -3.79914", \ + "14.8819, 13.8528, 11.86, 8.13597, 5.73149, 1.10952, -3.389", \ + "15.7118, 14.6827, 12.6899, 12.9634, 6.56138, 5.93691, -2.55911", \ + "18.6286, 16.3809, 14.3881, 11.7969, 12.2571, 3.63761, -3.73047", \ + "24.9576, 23.9285, 21.9357, 18.2117, 15.8072, 7.18775, -1.30827", \ + "32.6728, 31.6437, 29.6509, 25.9269, 23.5224, 14.9029, 6.40691", \ + "46.5653, 45.5362, 43.5434, 40.9375, 37.4149, 28.7954, 24.2969" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.179277, 0.175625, 0.175937, 0.191908, 0.244973, 0.381558, 0.680653" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.45786, 0.452685, 0.450953, 0.463081, 0.516037, 0.66093, 0.975605" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.437613, 0.434142, 0.434556, 0.450844, 0.504285, 0.640651, 0.938591" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197448, 0.192124, 0.190637, 0.203236, 0.255755, 0.400434, 0.715961" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00509455, -0.00524385, -0.00510339, -0.00519019, -0.00512803, -0.00521934, -0.00523936" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00630084, 0.00636008, 0.006525, 0.00644146, 0.0064261, 0.00637083, 0.00631337" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102799, 0.103144, 0.103112, 0.103318, 0.102904, 0.103019, 0.102484" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0993466, -0.0997492, -0.100888, -0.10107, -0.101345, -0.100231, -0.0998897" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.175401, 0.172629, 0.175114, 0.19612, 0.258498, 0.408902, 0.732461" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.405297, 0.401733, 0.401697, 0.418966, 0.479856, 0.637101, 0.977573" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394537, 0.391887, 0.393931, 0.415221, 0.476868, 0.627719, 0.950419" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.1838, 0.179738, 0.180185, 0.197136, 0.258186, 0.415658, 0.756361" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0783275, -0.0782295, -0.079724, -0.0801109, -0.0802938, -0.0792064, -0.0792948" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0818631, 0.0821416, 0.0826382, 0.0830601, 0.0833751, 0.0825644, 0.0820734" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0352446, 0.0349216, 0.0355911, 0.0355153, 0.0353739, 0.0349329, 0.0348003" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0347714, -0.034775, -0.0343411, -0.034378, -0.0343411, -0.0338735, -0.0337022" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0610537, -0.0647906, -0.0687107, -0.0709336, -0.0723561, -0.0721914, -0.0726192" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0752835, 0.0760636, 0.0757304, 0.0766435, 0.0764127, 0.0765465, 0.0763616" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0959475, 0.0922997, 0.0904517, 0.089315, 0.0885604, 0.0871549, 0.0866138" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0788023, -0.0799321, -0.0810771, -0.0829757, -0.0831001, -0.0833258, -0.0832408" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0134672, -0.0135036, -0.0134387, -0.0136265, -0.0136464, -0.0135747, -0.0134795" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0134672, 0.0135036, 0.01356, 0.0136265, 0.0136877, 0.0136614, 0.01363" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.098146, 0.098621, 0.0975685, 0.0990759, 0.0992734, 0.0984964, 0.0981442" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0944284, -0.0954147, -0.095574, -0.0963781, -0.0965388, -0.0955468, -0.0949537" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0620133, -0.0657214, -0.0685757, -0.0704548, -0.0713785, -0.0721997, -0.0724454" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0758041, 0.0758064, 0.0768152, 0.0768792, 0.0768259, 0.0766173, 0.0765563" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0950102, 0.0918694, 0.0906238, 0.089446, 0.0886671, 0.0880517, 0.0874235" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0832594, -0.083086, -0.0847582, -0.0848555, -0.0844558, -0.0842172, -0.0839359" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0766155, -0.0772179, -0.0776618, -0.0772519, -0.0775487, -0.0775031, -0.0773001" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0771694, 0.0772179, 0.0776618, 0.0785157, 0.0784767, 0.0783244, 0.0781496" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0391999, 0.0393952, 0.0390128, 0.0392043, 0.0390595, 0.0388646, 0.0387176" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0391999, -0.0390149, -0.0390128, -0.0392043, -0.0390595, -0.0387386, -0.0382228" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx4_ASAP7_75t_L) { + area : 0.45198; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 3959.17; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3029.91; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3585.76; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3700.72; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4056.61; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3127.36; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 4058.11; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3128.85; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3956.95; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3027.69; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3394.57; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3509.53; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3693.35; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3808.3; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3502.16; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3617.12; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2619.52; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3339.41; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2755.11; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3176.18; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2726.89; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3447; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2724.43; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3444.78; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2617.29; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3337.18; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2752.89; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3153.92; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2862.7; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3283.77; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 2860.48; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3261.51; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 3297.48; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "27.34, 30.0863, 34.7927, 42.6866, 56.8198, 83.926, 137.699", \ + "28.6812, 31.422, 36.1315, 44.0255, 58.1559, 85.2621, 139.04", \ + "31.1767, 33.919, 38.6247, 46.5186, 60.6575, 87.7594, 141.532", \ + "34.9409, 37.6505, 42.3526, 50.2404, 64.395, 91.4803, 145.257", \ + "40.5866, 43.323, 48.022, 55.9119, 70.0653, 97.1742, 150.937", \ + "49.4809, 52.215, 56.9109, 64.8054, 78.9506, 106.064, 160.156", \ + "63.4962, 66.2281, 70.9266, 78.8227, 92.989, 120.103, 173.873" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.5642, 15.6812, 23.405, 38.4368, 68.7453, 130.651, 256.391", \ + "11.5657, 15.6909, 23.4068, 38.438, 68.7226, 130.65, 256.39", \ + "11.5718, 15.6877, 23.4126, 38.4411, 68.7512, 130.652, 256.394", \ + "11.6181, 15.7344, 23.4656, 38.4965, 68.7604, 130.653, 256.393", \ + "11.6868, 15.9031, 23.615, 38.736, 68.7987, 130.68, 256.4", \ + "11.8574, 15.9385, 23.6321, 38.6048, 69.2829, 130.748, 256.738", \ + "12.259, 16.2946, 23.937, 39.2428, 69.1286, 131.116, 257.013" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "28.2796, 31.0583, 35.7507, 43.3834, 56.5298, 80.8885, 128.62", \ + "29.6129, 32.3865, 37.0761, 44.708, 57.8288, 82.2142, 129.946", \ + "31.9865, 34.7602, 39.4501, 47.0822, 60.2316, 84.588, 132.32", \ + "35.6581, 38.4244, 43.1116, 50.7375, 63.8629, 88.2425, 135.974", \ + "41.1177, 43.8926, 48.5764, 56.1956, 69.3193, 93.6954, 141.432", \ + "49.8091, 52.5743, 57.249, 64.8646, 77.9945, 102.355, 150.129", \ + "63.3027, 66.059, 70.7178, 78.3214, 91.434, 115.783, 163.51" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.2083, 14.8652, 21.6298, 34.456, 59.8372, 111.369, 216.467", \ + "11.2098, 14.8669, 21.6283, 34.451, 59.8237, 111.358, 216.467", \ + "11.2053, 14.8619, 21.6254, 34.4533, 59.8386, 111.355, 216.466", \ + "11.2492, 14.9091, 21.6458, 34.4623, 59.8359, 111.36, 216.468", \ + "11.2419, 14.9665, 21.6477, 34.5047, 59.8091, 111.353, 216.473", \ + "11.3269, 14.9562, 21.6863, 34.4664, 59.8819, 111.822, 216.501", \ + "11.6092, 15.1873, 21.8426, 34.6103, 59.8847, 111.647, 216.603" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.7842, 1.72079, 1.6855, 1.66912, 1.66336, 1.66114, 1.65997", \ + "1.78173, 1.71886, 1.6831, 1.66687, 1.66112, 1.65889, 1.65755", \ + "1.79348, 1.73182, 1.69415, 1.67779, 1.6718, 1.67002, 1.66895", \ + "1.83945, 1.77539, 1.73545, 1.71453, 1.71002, 1.70661, 1.70609", \ + "1.95712, 1.89733, 1.84742, 1.86372, 1.82399, 1.82129, 1.81724", \ + "2.21898, 2.15197, 2.10548, 2.10603, 2.10863, 2.1363, 2.14228", \ + "2.78015, 2.70274, 2.64609, 2.64339, 2.65002, 2.64025, 2.67251" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.05445, 1.97711, 1.91906, 1.89138, 1.87934, 1.87345, 1.87009", \ + "2.05456, 1.9755, 1.91695, 1.8894, 1.87742, 1.87146, 1.86811", \ + "2.06786, 1.98841, 1.93043, 1.9025, 1.89067, 1.88495, 1.88147", \ + "2.11952, 2.03987, 1.98015, 1.95172, 1.93934, 1.93336, 1.93024", \ + "2.23578, 2.15285, 2.0926, 2.065, 2.05183, 2.04662, 2.04353", \ + "2.49363, 2.40942, 2.34736, 2.31738, 2.30598, 2.29759, 2.29524", \ + "3.03472, 2.94712, 2.87933, 2.84529, 2.82933, 2.82378, 2.81878" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.57672, 1.51332, 1.47807, 1.46176, 1.45603, 1.45379, 1.4527", \ + "1.57392, 1.51095, 1.47513, 1.45887, 1.45301, 1.45091, 1.44956", \ + "1.58636, 1.52467, 1.48694, 1.47049, 1.46442, 1.46261, 1.4615", \ + "1.63575, 1.57078, 1.53146, 1.5148, 1.5088, 1.50536, 1.50476", \ + "1.74882, 1.68355, 1.64048, 1.62397, 1.61378, 1.61169, 1.61076", \ + "2.01073, 1.9428, 1.89622, 1.87322, 1.86323, 1.86136, 1.8611", \ + "2.57333, 2.49624, 2.44003, 2.41068, 2.39598, 2.38939, 2.38817" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "1.83132, 1.75398, 1.69599, 1.66831, 1.65629, 1.6504, 1.64697", \ + "1.83067, 1.7517, 1.69331, 1.66579, 1.65406, 1.6479, 1.64453", \ + "1.84442, 1.76508, 1.70728, 1.67943, 1.66774, 1.66211, 1.65863", \ + "1.89389, 1.81244, 1.75395, 1.72522, 1.71256, 1.70615, 1.70295", \ + "2.01152, 1.93496, 1.8722, 1.84576, 1.82678, 1.82076, 1.81521", \ + "2.26985, 2.18583, 2.12395, 2.10068, 2.10779, 2.16245, 2.08054", \ + "2.80884, 2.72019, 2.65256, 2.62147, 2.60503, 2.66275, 2.61289" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.719838; + rise_capacitance : 0.719838; + rise_capacitance_range (0.585674, 0.719838); + fall_capacitance : 0.718065; + fall_capacitance_range (0.571379, 0.718065); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6234, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.0571, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.949755, 0.946237, 0.954907, 0.98756, 1.08094, 1.297, 1.76938" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.20343, 1.20045, 1.20814, 1.23964, 1.33365, 1.54998, 2.02197" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.471006, 0.469309, 0.479584, 0.513923, 0.607559, 0.825135, 1.29424" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.691887, 0.692849, 0.704603, 0.749907, 0.854097, 1.09023, 1.59093" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.695633, 0.693641, 0.702692, 0.737096, 0.831075, 1.04916, 1.51812" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467719, 0.468579, 0.480977, 0.526051, 0.630643, 0.86644, 1.36714" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.504394, 0.502376, 0.512627, 0.547264, 0.640071, 0.857949, 1.3277" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671634, 0.672274, 0.684612, 0.72993, 0.832964, 1.07063, 1.57309" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.711953, 0.710228, 0.720017, 0.75455, 0.847115, 1.0656, 1.5352" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.463343, 0.463845, 0.476751, 0.521789, 0.625578, 0.862456, 1.36509" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.939303, 0.938007, 0.947814, 0.986032, 1.08977, 1.32072, 1.82306" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.09244, 1.09028, 1.09957, 1.13751, 1.24091, 1.4726, 1.9752" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.98671, 0.984019, 0.993647, 1.02619, 1.11945, 1.33403, 1.80404" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.3257, 1.32361, 1.33234, 1.36366, 1.45806, 1.67167, 2.14157" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482608, 0.480549, 0.491483, 0.525129, 0.618809, 0.836327, 1.30527" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.683133, 0.684139, 0.695937, 0.741111, 0.846785, 1.08166, 1.58223" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.700868, 0.69906, 0.709645, 0.742512, 0.836484, 1.05449, 1.52327" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.464669, 0.465535, 0.477937, 0.523009, 0.628036, 0.863481, 1.36423" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.987258, 0.984331, 0.994447, 1.02796, 1.12038, 1.33564, 1.8049" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.35739, 1.35492, 1.36375, 1.39638, 1.48994, 1.70452, 2.17317" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483095, 0.481068, 0.492006, 0.525643, 0.619266, 0.836805, 1.30575" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.68309, 0.684132, 0.696043, 0.741585, 0.846048, 1.08187, 1.58242" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.701516, 0.699738, 0.710324, 0.743392, 0.837335, 1.05511, 1.52386" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.464336, 0.465441, 0.47784, 0.523265, 0.627295, 0.863469, 1.3643" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.948094, 0.945247, 0.95464, 0.987963, 1.08017, 1.29519, 1.76822" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.20184, 1.1997, 1.20827, 1.24024, 1.33369, 1.54844, 2.02134" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.471019, 0.46933, 0.479593, 0.513919, 0.607503, 0.825103, 1.29424" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.691724, 0.692719, 0.704585, 0.750109, 0.855349, 1.09002, 1.59097" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.695638, 0.693651, 0.702672, 0.737253, 0.831268, 1.04911, 1.51808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.467427, 0.468486, 0.480896, 0.526236, 0.630832, 0.866613, 1.36721" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502554, 0.500785, 0.511046, 0.544296, 0.638595, 0.856483, 1.3264" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671814, 0.672623, 0.684908, 0.729985, 0.834363, 1.07146, 1.57331" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.709394, 0.708167, 0.717988, 0.750727, 0.84519, 1.06364, 1.5334" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.463744, 0.464926, 0.477489, 0.522494, 0.627485, 0.863845, 1.36584" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.884238, 0.882468, 0.894495, 0.930423, 1.03319, 1.27061, 1.77675" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.07816, 1.07563, 1.08729, 1.12218, 1.22506, 1.46338, 1.96971" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.504276, 0.502402, 0.512655, 0.545939, 0.6401, 0.857976, 1.32773" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.671695, 0.67231, 0.68465, 0.729976, 0.833009, 1.07065, 1.57312" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.70983, 0.708202, 0.717968, 0.750799, 0.845097, 1.06359, 1.53323" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465494, 0.465935, 0.478852, 0.5243, 0.627682, 0.864533, 1.36717" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.938563, 0.93723, 0.946979, 0.985715, 1.08879, 1.32108, 1.82252" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.08308, 1.08085, 1.09027, 1.12734, 1.23135, 1.46427, 1.96615" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.662209; + rise_capacitance : 0.654513; + rise_capacitance_range (0.547044, 0.654513); + fall_capacitance : 0.662209; + fall_capacitance_range (0.588826, 0.662209); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.31879, 4.58714, 7.05021, 8.8916, 15.7694, 23.2297, 35.2902", \ + "3.09172, 4.36007, 6.82315, 11.4548, 15.5424, 23.0026, 31.0657", \ + "2.65138, 3.91973, 6.3828, 7.01692, 15.102, 22.5623, 30.6253", \ + "-0.964356, 3.09422, 5.55729, 7.46094, 14.2765, 21.7368, 30.9473", \ + "0.395536, 1.66389, 4.12696, 4.76107, 12.8462, 20.3064, 32.367", \ + "-1.58237, -0.314018, 2.14905, 2.78317, 10.8683, 18.3285, 30.3891", \ + "-2.00714, -0.738792, 1.72428, 3.52539, 10.4435, 17.9037, 29.9643" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.0947, -11.7249, -7.00367, -8.37158, -7.10799, -3.11438, 0.767828", \ + "-12.5478, -12.1779, -11.4542, -10.071, -7.56106, -3.56745, 0.314756", \ + "-13.4433, -13.0734, -12.3497, -10.9665, -8.45655, -4.46294, -0.580733", \ + "-13.9453, -14.8218, -14.0981, -11.4062, -10.2049, -6.2113, -1.18164", \ + "-18.5179, -18.148, -17.4244, -16.0411, -13.5312, -9.53756, -1.65785", \ + "-20.4911, -20.1212, -19.3975, -18.0143, -19.5018, -15.5082, -7.62851", \ + "-29.7049, -29.335, -28.6113, -30.0391, -28.7157, -24.722, -16.8423" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.15039, 5.03705, 6.7735, 11.3062, 16.1596, 21.9233, 36.0022", \ + "3.54157, 4.42823, 6.16468, 9.49005, 15.5508, 21.3144, 35.3934", \ + "2.37453, 3.2612, 4.99764, 8.32302, 14.3837, 20.1474, 34.2263", \ + "1.45019, 5.12708, 6.86353, 7.46094, 12.2521, 22.0133, 33.2422", \ + "0.0287724, 0.915435, 2.65188, 5.97726, 12.038, 21.7991, 31.8806", \ + "-0.399521, 0.487141, 2.22359, 5.54897, 11.6097, 21.3708, 31.4523", \ + "2.74139, 3.62805, 5.3645, 5.85937, 14.7506, 20.5143, 34.5932" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.77197, -8.46716, -7.86642, -5.47363, -4.51051, -0.698796, 4.65211", \ + "-9.63304, -9.32823, -8.72749, -7.56151, -5.37158, -1.55986, 3.79104", \ + "-11.3059, -11.0011, -10.4003, -9.23434, -7.04442, -3.2327, 2.1182", \ + "-13.2275, -10.152, -9.55129, -11.0938, -6.19539, -2.38367, 0.107427", \ + "-15.965, -15.6601, -15.0594, -13.8934, -11.7035, -7.89178, -2.54088", \ + "-19.8284, -19.5236, -18.9228, -17.7569, -15.5669, -11.7552, -6.40431", \ + "-26.9266, -26.6218, -26.021, -27.666, -22.6651, -22.8509, -13.5025" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.15039, 5.03705, 7.05021, 11.3062, 16.1596, 23.2297, 36.0022", \ + "3.54157, 4.42823, 6.82315, 11.4548, 15.5508, 23.0026, 35.3934", \ + "2.65138, 3.91973, 6.3828, 8.32302, 15.102, 22.5623, 34.2263", \ + "1.45019, 5.12708, 6.86353, 7.46094, 14.2765, 22.0133, 33.2422", \ + "0.395536, 1.66389, 4.12696, 5.97726, 12.8462, 21.7991, 32.367", \ + "-0.399521, 0.487141, 2.22359, 5.54897, 11.6097, 21.3708, 31.4523", \ + "2.74139, 3.62805, 5.3645, 5.85937, 14.7506, 20.5143, 34.5932" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.77197, -8.46716, -7.00367, -5.47363, -4.51051, -0.698796, 4.65211", \ + "-9.63304, -9.32823, -8.72749, -7.56151, -5.37158, -1.55986, 3.79104", \ + "-11.3059, -11.0011, -10.4003, -9.23434, -7.04442, -3.2327, 2.1182", \ + "-13.2275, -10.152, -9.55129, -11.0938, -6.19539, -2.38367, 0.107427", \ + "-15.965, -15.6601, -15.0594, -13.8934, -11.7035, -7.89178, -1.65785", \ + "-19.8284, -19.5236, -18.9228, -17.7569, -15.5669, -11.7552, -6.40431", \ + "-26.9266, -26.6218, -26.021, -27.666, -22.6651, -22.8509, -13.5025" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3715, 11.089, 8.58948, 0.964355, -4.57487, -13.2421, -25.8109", \ + "13.0689, 11.7864, 9.28684, 4.5496, -3.87751, -12.5447, -25.1135", \ + "14.4232, 13.1407, 10.6411, 5.90387, -2.52323, -11.1904, -23.7592", \ + "14.0625, 15.6874, 13.1879, 5.625, 0.0235212, -8.64367, -24.0625", \ + "21.4162, 16.1362, 13.6367, 8.89944, 4.46984, -8.19485, -20.7637", \ + "23.7226, 22.4401, 19.9406, 15.2033, 6.77622, -1.89097, -18.4573", \ + "29.9728, 28.6903, 26.1908, 18.5742, 13.0265, 0.361768, -16.2045" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.5818, 17.7415, 16.1124, 14.0605, 11.7796, 8.5211, 3.21527", \ + "19.0322, 18.1919, 16.5628, 13.5109, 12.23, 8.97149, 3.66566", \ + "19.927, 19.0867, 17.4576, 14.4058, 13.1249, 9.86636, 4.56053", \ + "22.7832, 20.8528, 19.2237, 17.3438, 14.8909, 11.6324, 3.47656", \ + "29.1281, 24.2902, 22.6612, 19.6093, 18.3284, 15.0699, 5.76655", \ + "31.6268, 30.7864, 29.1574, 26.1055, 24.8246, 17.5686, 12.2627", \ + "43.1043, 42.2639, 40.6349, 38.7012, 36.3021, 29.0461, 23.7402" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3715, 11.0929, 4.59978, 0.964355, -4.65293, -13.726, -28.1058", \ + "13.0689, 11.7903, 5.29715, 0.552098, -3.95556, -13.0287, -27.4084", \ + "14.4232, 13.1446, 6.65142, 1.90637, -2.60129, -11.6744, -26.0541", \ + "14.0625, 11.6938, 9.19817, 5.625, -0.0545374, -9.12763, -26.3574", \ + "17.4187, 16.1401, 13.6445, 8.89944, 4.39178, -8.67881, -23.0586", \ + "23.7226, 22.444, 19.9484, 15.2033, 6.69816, -6.37244, -20.7522", \ + "29.9728, 28.6942, 26.1986, 18.5742, 12.9484, -0.122195, -18.4995" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4768, 13.5326, 11.7069, 9.39697, 6.50926, 2.98113, -0.122379", \ + "15.2492, 14.305, 12.4793, 13.0768, 11.2791, 3.75351, 0.650002", \ + "16.7573, 15.8131, 13.9874, 14.5849, 12.7873, 5.26163, 2.15812", \ + "21.627, 18.6827, 16.8571, 14.6094, 11.6594, 8.13128, 2.16796", \ + "24.7799, 23.8357, 22.01, 18.61, 16.8124, 13.2842, 6.18323", \ + "28.743, 27.7988, 25.9731, 26.5706, 20.7754, 17.2473, 10.1463", \ + "43.2778, 42.3335, 40.5079, 34.2285, 31.3127, 27.7846, 20.6836" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3715, 11.0929, 8.58948, 0.964355, -4.57487, -13.2421, -25.8109", \ + "13.0689, 11.7903, 9.28684, 4.5496, -3.87751, -12.5447, -25.1135", \ + "14.4232, 13.1446, 10.6411, 5.90387, -2.52323, -11.1904, -23.7592", \ + "14.0625, 15.6874, 13.1879, 5.625, 0.0235212, -8.64367, -24.0625", \ + "21.4162, 16.1401, 13.6445, 8.89944, 4.46984, -8.19485, -20.7637", \ + "23.7226, 22.444, 19.9484, 15.2033, 6.77622, -1.89097, -18.4573", \ + "29.9728, 28.6942, 26.1986, 18.5742, 13.0265, 0.361768, -16.2045" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.5818, 17.7415, 16.1124, 14.0605, 11.7796, 8.5211, 3.21527", \ + "19.0322, 18.1919, 16.5628, 13.5109, 12.23, 8.97149, 3.66566", \ + "19.927, 19.0867, 17.4576, 14.5849, 13.1249, 9.86636, 4.56053", \ + "22.7832, 20.8528, 19.2237, 17.3438, 14.8909, 11.6324, 3.47656", \ + "29.1281, 24.2902, 22.6612, 19.6093, 18.3284, 15.0699, 6.18323", \ + "31.6268, 30.7864, 29.1574, 26.5706, 24.8246, 17.5686, 12.2627", \ + "43.2778, 42.3335, 40.6349, 38.7012, 36.3021, 29.0461, 23.7402" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0657172, -0.0660834, -0.0656799, -0.0660846, -0.0666425, -0.0663867, -0.065955" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0681482, 0.0680654, 0.068193, 0.068409, 0.0685797, 0.0681574, 0.0678881" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0997419, 0.100067, 0.100002, 0.100525, 0.100409, 0.100409, 0.10017" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0984553, -0.0982629, -0.0984553, -0.0983307, -0.0993601, -0.0983328, -0.0980503" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0698685, -0.0694717, -0.0697035, -0.0704153, -0.0704699, -0.0693213, -0.069591" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0742632, 0.073576, 0.0746117, 0.0747816, 0.0748353, 0.0739085, 0.0733104" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0550876, 0.0548749, 0.0548973, 0.0551256, 0.0550256, 0.0541557, 0.0540541" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0528812, -0.052032, -0.0528531, -0.0527985, -0.0528715, -0.0523128, -0.0521335" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.379803, 0.376652, 0.379005, 0.397331, 0.457645, 0.621871, 0.993161" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.739247, 0.734797, 0.733294, 0.742633, 0.794334, 0.95474, 1.33431" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.735299, 0.732416, 0.735285, 0.753266, 0.813103, 0.977446, 1.34633" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.376021, 0.371542, 0.369577, 0.37964, 0.430846, 0.591905, 0.972742" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.383668, 0.379461, 0.382999, 0.403014, 0.466594, 0.629692, 0.999111" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.710131, 0.7066, 0.705144, 0.717976, 0.772779, 0.937516, 1.32445" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720921, 0.71675, 0.720056, 0.739172, 0.802849, 0.966158, 1.33233" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.364192, 0.360595, 0.359438, 0.371947, 0.426374, 0.591573, 0.979172" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0662552, -0.0654513, -0.065565, -0.0663604, -0.0660556, -0.0660803, -0.0656331" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0672821, 0.0674142, 0.0674954, 0.0675696, 0.0680888, 0.0677216, 0.0674524" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.100099, 0.100255, 0.100207, 0.101121, 0.100827, 0.100781, 0.100485" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0991482, -0.0988334, -0.0987497, -0.0989384, -0.0999764, -0.0989826, -0.0985074" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0661306, -0.0661902, -0.0664868, -0.0666425, -0.0659998, -0.0660962, -0.0658531" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0675393, 0.0670386, 0.0674152, 0.0677773, 0.0679974, 0.0677081, 0.0674495" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0600712, 0.0599442, 0.0601479, 0.0602955, 0.0598985, 0.0599046, 0.0597068" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0600712, -0.0594573, -0.0597847, -0.0600238, -0.0598985, -0.0595445, -0.0590803" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0541306, -0.0574254, -0.0619104, -0.064096, -0.0667014, -0.0671544, -0.0677515" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0712046, 0.0721058, 0.0722292, 0.0720242, 0.0724948, 0.0718225, 0.0716859" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0978106, 0.0953406, 0.0951198, 0.0941574, 0.0948733, 0.0936553, 0.0930761" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0830915, -0.0868857, -0.0880875, -0.0889672, -0.0903652, -0.0898208, -0.0897988" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0516105, -0.0557052, -0.0600427, -0.0633419, -0.0658401, -0.0664419, -0.067196" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0718954, 0.0719883, 0.0722625, 0.0720123, 0.0726731, 0.0719521, 0.0718909" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0978392, 0.0967381, 0.0962242, 0.0958555, 0.0955018, 0.0943326, 0.0937665" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0896823, -0.0899773, -0.091193, -0.0907448, -0.0915567, -0.090782, -0.0905781" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.3964; + rise_capacitance : 1.39263; + rise_capacitance_range (1.22926, 1.39263); + fall_capacitance : 1.3964; + fall_capacitance_range (1.18865, 1.3964); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.8121, -10.4622, -9.77636, -11.1914, -10.0448, -6.10261, -1.74926", \ + "-15.2606, -10.9132, -10.2274, -8.91079, -10.4958, -6.55362, -2.20027", \ + "-16.1223, -11.7749, -11.0891, -9.77248, -11.3575, -7.41531, -3.06197", \ + "-16.438, -13.337, -12.6511, -14.0234, -12.9196, -8.97736, -3.47656", \ + "-17.5818, -17.232, -16.5461, -15.2295, -12.817, -8.87483, -4.52148", \ + "-17.3767, -17.0269, -16.341, -15.0244, -12.6119, -8.66975, -4.3164", \ + "-16.9666, -12.6192, -11.9333, -13.4277, -12.2018, -8.2596, 0.0912503" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.98486, 2.83564, 4.50062, 8.8916, 13.4667, 22.6915, 31.781", \ + "0.986378, 1.83715, 3.50213, 6.68584, 12.4683, 21.6931, 30.7825", \ + "-0.950828, -0.100056, 1.56492, 4.74864, 10.531, 19.7558, 28.8453", \ + "-3.37891, -3.73541, 1.92707, 2.38281, 6.89569, 16.1205, 26.3574", \ + "-6.90316, -6.05238, -4.3874, -1.20369, 4.57872, 9.80602, 22.893", \ + "-11.7097, -10.8589, -9.19391, -6.0102, -0.22779, 4.99951, 18.0865", \ + "-22.0129, -21.1622, -19.4972, -15.1465, -10.5311, -1.30627, 7.7832" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.69818, 5.83578, 8.04777, 9.38965, 15.5525, 22.1723, 35.2219", \ + "4.79177, 5.92937, 8.14136, 12.3125, 15.6461, 22.2658, 35.3155", \ + "4.98598, 6.12357, 8.33556, 12.5067, 15.8403, 22.4601, 35.5097", \ + "2.57324, 6.54012, 8.75211, 10.1562, 16.2569, 22.8766, 33.0566", \ + "6.34817, 7.48576, 9.69775, 9.87142, 17.2025, 23.8222, 36.8719", \ + "8.68959, 9.82718, 12.0392, 16.2103, 19.5439, 26.1637, 39.2133", \ + "15.173, 16.3106, 18.5226, 19.8633, 26.0274, 36.6446, 45.6967" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.60205, -5.23859, -4.5188, -5.87891, -4.53725, -0.137737, 5.55543", \ + "-10.425, -6.06149, -5.3417, -3.95065, -5.36016, -0.960641, 4.73252", \ + "-12.0464, -7.68291, -6.96312, -5.57206, -6.98157, -2.58206, 3.1111", \ + "-13.9453, -10.8282, -10.1084, -11.4062, -6.12935, -5.72734, 1.11329", \ + "-17.0945, -16.7285, -16.0087, -14.6177, -12.0297, -7.63017, -1.93701", \ + "-27.3342, -26.9683, -26.2485, -24.8574, -22.2694, -17.8699, -12.1768", \ + "-45.5676, -45.2017, -40.4844, -41.9043, -40.5028, -36.1033, -30.4102" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.69818, 5.83578, 8.04777, 9.38965, 15.5525, 22.1723, 35.2219", \ + "4.79177, 5.92937, 8.14136, 12.3125, 15.6461, 22.2658, 35.3155", \ + "4.98598, 6.12357, 8.33556, 12.5067, 15.8403, 22.4601, 35.5097", \ + "2.57324, 6.54012, 8.75211, 10.1562, 16.2569, 22.8766, 33.0566", \ + "6.34817, 7.48576, 9.69775, 9.87142, 17.2025, 23.8222, 36.8719", \ + "8.68959, 9.82718, 12.0392, 16.2103, 19.5439, 26.1637, 39.2133", \ + "15.173, 16.3106, 18.5226, 19.8633, 26.0274, 36.6446, 45.6967" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.98486, 2.83564, 4.50062, 8.8916, 13.4667, 22.6915, 31.781", \ + "0.986378, 1.83715, 3.50213, 6.68584, 12.4683, 21.6931, 30.7825", \ + "-0.950828, -0.100056, 1.56492, 4.74864, 10.531, 19.7558, 28.8453", \ + "-3.37891, -3.73541, 1.92707, 2.38281, 6.89569, 16.1205, 26.3574", \ + "-6.90316, -6.05238, -4.3874, -1.20369, 4.57872, 9.80602, 22.893", \ + "-11.7097, -10.8589, -9.19391, -6.0102, -0.22779, 4.99951, 18.0865", \ + "-22.0129, -21.1622, -19.4972, -15.1465, -10.5311, -1.30627, 7.7832" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.0356, 22.1914, 16.5609, 14.646, 12.3139, 8.56776, 1.96899", \ + "23.4488, 22.6046, 20.9716, 17.9276, 12.7272, 8.98099, 6.37972", \ + "24.2413, 23.3971, 21.7641, 18.7201, 13.5197, 9.77351, 7.17224", \ + "22.7832, 24.8464, 19.2159, 17.3438, 14.969, 11.2228, 5.77148", \ + "25.8741, 25.0298, 23.3969, 20.3528, 19.1499, 15.4037, 8.80497", \ + "26.241, 25.3968, 23.7638, 20.7197, 19.5168, 15.7707, 9.17188", \ + "22.9773, 22.1331, 20.5001, 18.5742, 16.2532, 12.507, 5.9082" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.2991, 10.746, 7.73209, 3.18359, -3.76618, -9.53216, -25.3893", \ + "13.0121, 11.4589, 8.44503, 6.78441, 0.944249, -8.81923, -24.6763", \ + "18.4038, 12.8531, 9.83926, 8.17865, 2.33849, -7.42499, -23.2821", \ + "18.0702, 15.5151, 12.5012, 8.06126, 5.00047, -4.76301, -19.4727", \ + "21.8863, 20.3331, 17.3192, 11.6611, 5.82095, -3.94253, -15.8021", \ + "29.4983, 27.9451, 24.9312, 19.2731, 13.433, 3.66948, -12.1876", \ + "36.6265, 35.0734, 32.0595, 27.5195, 20.5612, 10.7977, -1.06188" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.14307, 7.63064, 4.69226, 0.231933, -6.51936, -16.3442, -25.8499", \ + "9.17359, 7.66116, 4.72278, -0.808099, -6.48883, -12.3162, -25.8194", \ + "9.22905, 7.71663, 4.77824, 3.24486, -6.43337, -12.2607, -25.7639", \ + "10.3687, 7.8052, 4.86682, 0.46875, -2.3473, -12.1721, -28.5449", \ + "13.0453, 11.5329, 8.59451, 3.06363, -2.61711, -12.442, -25.9452", \ + "13.2208, 11.7084, 8.77003, 3.23915, -2.44159, -12.2664, -29.7671", \ + "7.42896, 5.91653, 2.97815, -1.3164, -8.23346, -18.0583, -35.559" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.414, 13.5505, 11.8724, 9.84131, 7.30996, 3.91767, -1.06476", \ + "19.152, 14.2885, 12.6104, 13.4672, 8.04795, 4.65566, -0.326776", \ + "20.618, 19.752, 14.0764, 14.9332, 9.514, 6.12171, 1.13927", \ + "20.603, 22.6444, 20.9663, 15, 12.4064, 9.01411, 1.18164", \ + "29.1365, 28.2705, 22.5949, 23.4517, 18.0324, 14.6401, 5.6602", \ + "39.7534, 38.8874, 33.2118, 34.0686, 28.6494, 21.2596, 16.2772", \ + "58.447, 57.581, 55.9028, 49.8828, 47.3429, 39.9531, 34.9707" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.0356, 22.1914, 16.5609, 14.646, 12.3139, 8.56776, 1.96899", \ + "23.4488, 22.6046, 20.9716, 17.9276, 12.7272, 8.98099, 6.37972", \ + "24.2413, 23.3971, 21.7641, 18.7201, 13.5197, 9.77351, 7.17224", \ + "22.7832, 24.8464, 19.2159, 17.3438, 14.969, 11.2228, 5.77148", \ + "25.8741, 25.0298, 23.3969, 20.3528, 19.1499, 15.4037, 8.80497", \ + "26.241, 25.3968, 23.7638, 20.7197, 19.5168, 15.7707, 9.17188", \ + "22.9773, 22.1331, 20.5001, 18.5742, 16.2532, 12.507, 5.9082" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.414, 13.5505, 11.8724, 9.84131, 7.30996, 3.91767, -1.06476", \ + "19.152, 14.2885, 12.6104, 13.4672, 8.04795, 4.65566, -0.326776", \ + "20.618, 19.752, 14.0764, 14.9332, 9.514, 6.12171, 1.13927", \ + "20.603, 22.6444, 20.9663, 15, 12.4064, 9.01411, 1.18164", \ + "29.1365, 28.2705, 22.5949, 23.4517, 18.0324, 14.6401, 5.6602", \ + "39.7534, 38.8874, 33.2118, 34.0686, 28.6494, 21.2596, 16.2772", \ + "58.447, 57.581, 55.9028, 49.8828, 47.3429, 39.9531, 34.9707" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0933428, 0.0956535, 0.108734, 0.151539, 0.26603, 0.537279, 1.12479" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402698, 0.407067, 0.435795, 0.509839, 0.667872, 0.993604, 1.63879" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.390935, 0.392058, 0.404721, 0.448094, 0.563663, 0.835503, 1.41992" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.093305, 0.0980878, 0.126155, 0.199565, 0.35809, 0.681453, 1.3248" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.65033, 0.650536, 0.663879, 0.70332, 0.803041, 1.01947, 1.47432" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.768863, 0.768392, 0.784653, 0.831633, 0.940496, 1.17355, 1.65619" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.820698, 0.819699, 0.83349, 0.873039, 0.972844, 1.1904, 1.64496" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.592068, 0.592115, 0.608478, 0.655243, 0.763568, 0.997005, 1.48006" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369115, 0.367697, 0.381953, 0.443782, 0.606667, 0.993084, 1.82276" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.888521, 0.890068, 0.912513, 0.984757, 1.17208, 1.59856, 2.49313" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.867401, 0.866416, 0.879587, 0.942149, 1.10636, 1.49223, 2.32034" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.391228, 0.392309, 0.414586, 0.487017, 0.673628, 1.1003, 1.99629" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0551753, -0.0541566, -0.0407062, 0.000848577, 0.100347, 0.316877, 0.768726" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340144, 0.344437, 0.363014, 0.413075, 0.521513, 0.754246, 1.22868" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.335417, 0.337573, 0.352084, 0.391413, 0.492083, 0.710014, 1.16104" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0476576, -0.0435758, -0.0244269, 0.0230535, 0.133884, 0.364738, 0.839116" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0239556, -0.0244851, -0.0142697, 0.0233026, 0.123314, 0.33973, 0.791664" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342133, 0.340278, 0.354331, 0.400206, 0.505922, 0.735045, 1.20761" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344267, 0.343386, 0.355059, 0.394592, 0.494603, 0.710871, 1.16254" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0489716, -0.0454596, -0.0272055, 0.0202956, 0.129373, 0.360056, 0.834578" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621281, -0.0597589, -0.0464226, -0.00462956, 0.0947276, 0.312278, 0.764274" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342739, 0.347435, 0.366429, 0.416762, 0.526109, 0.758434, 1.23324" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.329768, 0.331359, 0.345417, 0.386995, 0.486497, 0.703923, 1.15586" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0451917, -0.0407233, -0.0217285, 0.0266801, 0.137039, 0.368196, 0.843222" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.65432; + rise_capacitance : 0.649128; + rise_capacitance_range (0.528453, 0.649128); + fall_capacitance : 0.65432; + fall_capacitance_range (0.548815, 0.65432); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.24262, 5.39415, 7.63563, 9.0625, 15.3626, 22.3995, 32.7", \ + "3.95151, 5.10304, 7.34453, 7.58365, 15.0715, 22.1084, 32.4089", \ + "3.39258, 4.54412, 6.7856, 7.02472, 14.5126, 21.5495, 31.8499", \ + "-0.441895, 3.51939, 5.76088, 7, 13.4879, 20.5247, 31.9629", \ + "-1.81644, -0.664909, 1.57657, 5.8132, 13.3011, 20.3379, 30.6384", \ + "-2.19005, -1.03852, 1.20297, 5.43959, 8.92998, 19.9643, 30.2648", \ + "1.06024, 2.21177, 4.45325, 5.85937, 12.1803, 19.2171, 33.5151" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.09723, -7.72736, -7.00367, -8.37158, -3.11049, -3.11438, 4.76533", \ + "-8.53416, -8.16429, -7.4406, -6.05735, -3.54742, -3.55131, 4.3284", \ + "-13.411, -9.04364, -8.31994, -6.93669, -8.42426, -4.43065, 3.44905", \ + "-13.9453, -14.8218, -14.0981, -11.4062, -10.2049, -6.2113, -1.18164", \ + "-18.8408, -18.4709, -17.7472, -16.364, -13.854, -9.86042, -1.98072", \ + "-26.4903, -26.1204, -25.3968, -24.0135, -21.5036, -17.51, -9.63026", \ + "-43.1946, -42.8247, -42.101, -39.5313, -34.2103, -34.2142, -26.3345" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.8227, 5.93662, 8.10716, 9.38965, 15.5278, 22.4744, 33.6853", \ + "4.54718, 5.66111, 7.83165, 11.9434, 15.2523, 22.1989, 33.4098", \ + "4.02073, 5.13466, 7.30519, 11.417, 14.7259, 21.6724, 32.8833", \ + "0.236816, 4.18003, 6.35057, 7.69531, 13.7712, 20.7178, 33.0566", \ + "-0.875036, 4.23639, 6.40693, 6.5212, 13.8276, 20.7742, 31.9851", \ + "-0.762314, 0.351615, 2.52215, 6.63393, 13.9403, 20.8869, 32.0978", \ + "3.46063, 4.57456, 6.74509, 7.85937, 14.1658, 21.1123, 32.3232" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.45465, -3.81701, -2.57861, -3.02002, -0.178313, 1.60099, 7.71119", \ + "-5.21677, -4.57913, -3.34073, -5.00894, -0.94043, 0.83887, 6.94907", \ + "-6.70869, -6.07105, -4.83265, -6.50087, -2.43235, -0.653053, 5.45715", \ + "-12.3535, -8.92565, -7.68725, -8.08594, -5.28696, 0.489845, 3.73047", \ + "-14.7555, -14.1179, -12.8795, -10.5502, -6.48168, -4.70238, 1.40782", \ + "-23.072, -18.4369, -17.1985, -18.8667, -14.7982, -13.0189, -6.90871", \ + "-31.4334, -30.7958, -29.5574, -30.0391, -27.1571, -25.3778, -19.2676" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.8227, 5.93662, 8.10716, 9.38965, 15.5278, 22.4744, 33.6853", \ + "4.54718, 5.66111, 7.83165, 11.9434, 15.2523, 22.1989, 33.4098", \ + "4.02073, 5.13466, 7.30519, 11.417, 14.7259, 21.6724, 32.8833", \ + "0.236816, 4.18003, 6.35057, 7.69531, 13.7712, 20.7178, 33.0566", \ + "-0.875036, 4.23639, 6.40693, 6.5212, 13.8276, 20.7742, 31.9851", \ + "-0.762314, 0.351615, 2.52215, 6.63393, 13.9403, 20.8869, 32.0978", \ + "3.46063, 4.57456, 6.74509, 7.85937, 14.1658, 21.1123, 33.5151" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.45465, -3.81701, -2.57861, -3.02002, -0.178313, 1.60099, 7.71119", \ + "-5.21677, -4.57913, -3.34073, -5.00894, -0.94043, 0.83887, 6.94907", \ + "-6.70869, -6.07105, -4.83265, -6.50087, -2.43235, -0.653053, 5.45715", \ + "-12.3535, -8.92565, -7.68725, -8.08594, -5.28696, 0.489845, 3.73047", \ + "-14.7555, -14.1179, -12.8795, -10.5502, -6.48168, -4.70238, 1.40782", \ + "-23.072, -18.4369, -17.1985, -18.8667, -14.7982, -13.0189, -6.90871", \ + "-31.4334, -30.7958, -29.5574, -30.0391, -27.1571, -25.3778, -19.2676" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.7464, 6.54347, 4.19006, 0.929921, -4.32458, -12.7211, -26.8022", \ + "12.4396, 7.23664, 4.88323, 0.406171, -3.63141, -12.028, -26.109", \ + "13.7792, 8.57627, 6.22286, 1.7458, -2.29178, -10.6883, -24.7694", \ + "13.3447, 11.0687, 8.71534, 5.39062, 0.200697, -12.1933, -25.1367", \ + "16.512, 15.3066, 12.9532, 8.47611, 0.441025, -7.95552, -22.0366", \ + "20.0196, 18.8142, 16.4607, 11.9837, 3.94861, -4.44793, -18.529", \ + "21.0193, 19.8139, 17.4605, 14.1016, 8.94582, -3.44822, -17.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.4722, 15.6318, 14.0028, 12.0605, 9.66997, 6.41148, 1.10565", \ + "17.2374, 16.3971, 14.768, 15.7137, 10.4352, 7.17674, 1.8709", \ + "18.7485, 17.9082, 16.2791, 17.2248, 11.9463, 8.68784, 3.38201", \ + "22.7832, 20.8528, 19.2237, 17.3438, 14.8909, 11.6324, 3.47656", \ + "27.2718, 26.4315, 24.8024, 21.7505, 20.4696, 13.2136, 7.90776", \ + "37.1871, 36.3468, 34.7177, 31.6658, 30.3849, 23.1289, 17.8231", \ + "56.0471, 55.2067, 53.5777, 47.6465, 45.2474, 37.9914, 32.6855" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.97949, 7.49268, 4.60327, 0.231933, -6.37726, -16.0614, -25.8597", \ + "9.34193, 7.85512, 4.96571, -0.476183, -6.01482, -15.699, -25.4972", \ + "10.053, 8.56616, 5.67675, 4.23236, -5.30378, -14.9879, -24.7862", \ + "12.4707, 9.93286, 7.04346, 2.73438, -3.93707, -13.6212, -26.2891", \ + "13.9316, 12.4448, 9.55538, 8.11099, 2.57235, -11.1093, -24.9051", \ + "18.0695, 16.5827, 13.6933, 12.2489, 2.71276, -6.97139, -20.7672", \ + "22.8015, 21.3147, 18.4253, 14.1016, 7.44477, -2.23937, -20.0327" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.0839, 10.333, 8.871, 7.2168, 5.3543, 2.68666, -3.1424", \ + "15.7481, 14.9946, 13.5326, 10.7884, 6.01844, 3.3508, -2.47825", \ + "17.0604, 16.307, 14.845, 12.1007, 7.33078, 4.66314, -1.16591", \ + "16.6748, 18.8678, 17.4059, 11.7969, 9.89165, 7.22401, -1.47461", \ + "24.4878, 23.7343, 18.2749, 19.5281, 14.7582, 8.09302, 2.26396", \ + "33.1999, 28.4489, 26.9869, 24.2426, 23.4702, 16.8051, 10.976", \ + "46.5402, 41.7893, 40.3273, 38.7012, 32.8131, 30.1455, 20.3189" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.7464, 7.49268, 4.60327, 0.929921, -4.32458, -12.7211, -25.8597", \ + "12.4396, 7.85512, 4.96571, 0.406171, -3.63141, -12.028, -25.4972", \ + "13.7792, 8.57627, 6.22286, 4.23236, -2.29178, -10.6883, -24.7694", \ + "13.3447, 11.0687, 8.71534, 5.39062, 0.200697, -12.1933, -25.1367", \ + "16.512, 15.3066, 12.9532, 8.47611, 2.57235, -7.95552, -22.0366", \ + "20.0196, 18.8142, 16.4607, 12.2489, 3.94861, -4.44793, -18.529", \ + "22.8015, 21.3147, 18.4253, 14.1016, 8.94582, -2.23937, -17.5293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.4722, 15.6318, 14.0028, 12.0605, 9.66997, 6.41148, 1.10565", \ + "17.2374, 16.3971, 14.768, 15.7137, 10.4352, 7.17674, 1.8709", \ + "18.7485, 17.9082, 16.2791, 17.2248, 11.9463, 8.68784, 3.38201", \ + "22.7832, 20.8528, 19.2237, 17.3438, 14.8909, 11.6324, 3.47656", \ + "27.2718, 26.4315, 24.8024, 21.7505, 20.4696, 13.2136, 7.90776", \ + "37.1871, 36.3468, 34.7177, 31.6658, 30.3849, 23.1289, 17.8231", \ + "56.0471, 55.2067, 53.5777, 47.6465, 45.2474, 37.9914, 32.6855" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.351722, 0.348614, 0.351831, 0.369021, 0.429178, 0.580526, 0.912893" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.638066, 0.632687, 0.633065, 0.650096, 0.71165, 0.871216, 1.21902" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.609145, 0.606226, 0.609185, 0.626695, 0.686945, 0.838713, 1.1696" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378854, 0.374092, 0.373964, 0.391241, 0.452954, 0.612222, 0.960808" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00849995, -0.00853812, -0.00856181, -0.00876054, -0.00869946, -0.00871975, -0.00872065" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0106789, 0.0106759, 0.0106679, 0.0104012, 0.0104578, 0.0103967, 0.0103159" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0988578, 0.098859, 0.0988958, 0.0994918, 0.0993131, 0.09903, 0.0986228" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.09589, -0.0958035, -0.0959865, -0.0961731, -0.0968949, -0.0957719, -0.0955035" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.347448, 0.344885, 0.349598, 0.371641, 0.437798, 0.600804, 0.955645" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.57682, 0.573141, 0.575624, 0.595204, 0.664173, 0.836687, 1.20723" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564807, 0.563263, 0.566899, 0.589709, 0.655303, 0.818991, 1.17242" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.356909, 0.352809, 0.355617, 0.375514, 0.443926, 0.616628, 0.987952" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0813488, -0.0815577, -0.0821343, -0.0815962, -0.0827819, -0.0816568, -0.0817641" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.08513, 0.0853364, 0.0857509, 0.086232, 0.0865503, 0.0856232, 0.0852354" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0320656, 0.031858, 0.0316777, 0.0312616, 0.0313823, 0.0309792, 0.0308291" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0292629, -0.0301691, -0.0295634, -0.0297554, -0.0296813, -0.029172, -0.0290569" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0618868, -0.0645948, -0.0683494, -0.0689263, -0.0711171, -0.0708102, -0.0710429" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0741128, 0.0745292, 0.075135, 0.0749758, 0.0750809, 0.075372, 0.0752551" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0934881, 0.0903879, 0.0896645, 0.0878516, 0.0883618, 0.0872638, 0.0866526" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0787343, -0.0794551, -0.0817499, -0.0824548, -0.082843, -0.0831927, -0.083026" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0117065, -0.0116818, -0.0118034, -0.0118348, -0.0117309, -0.0116354, -0.0115421" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0122184, 0.0121362, 0.0122693, 0.0122742, 0.0123181, 0.0122808, 0.012247" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0994158, 0.0999885, 0.100541, 0.100759, 0.100623, 0.0997673, 0.0994781" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0963779, -0.0957455, -0.0967697, -0.0963586, -0.0972944, -0.096242, -0.0957254" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0627073, -0.0658414, -0.0680038, -0.0698085, -0.0701202, -0.0705991, -0.0708182" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0744005, 0.0753405, 0.0749778, 0.0755301, 0.0750248, 0.0756975, 0.0754755" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0932136, 0.0911199, 0.0899034, 0.0890195, 0.0881007, 0.0878476, 0.0873171" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.082858, -0.0839252, -0.0837921, -0.0842328, -0.0836136, -0.0841237, -0.0837361" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0746404, -0.0751752, -0.0754294, -0.0756803, -0.0747501, -0.0750807, -0.0748726" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0752164, 0.0755041, 0.0757745, 0.0762742, 0.0765694, 0.0764147, 0.0762357" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0402738, 0.0402919, 0.0399461, 0.0402134, 0.0395667, 0.039797, 0.0396592" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0402738, -0.039825, -0.0396032, -0.0397765, -0.0395667, -0.0391487, -0.0386409" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } +} diff --git a/test/asap7/asap7sc7p5t_SEQ_SLVT_FF_nldm_220123.lib b/test/asap7/asap7sc7p5t_SEQ_SLVT_FF_nldm_220123.lib new file mode 100755 index 00000000000..adcd14e3e9c --- /dev/null +++ b/test/asap7/asap7sc7p5t_SEQ_SLVT_FF_nldm_220123.lib @@ -0,0 +1,37991 @@ +/* +BSD 3-Clause License + +Copyright 2020 Lawrence T. Clark, Vinay Vashishtha, or Arizona State +University + +Redistribution and use in source and binary forms, with or without +modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, +this list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright +notice, this list of conditions and the following disclaimer in the +documentation and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its +contributors may be used to endorse or promote products derived from this +software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE +IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE +ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE +LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +POSSIBILITY OF SUCH DAMAGE. +*/ + + +library (asap7sc7p5t_SEQ_SLVT_FF_nldm_220123) { + /* Models written by Liberate 18.1.0.293 from Cadence Design Systems, Inc. on Sun Jan 23 00:57:42 MST 2022 */ + comment : ""; + date : "$Date: Sun Jan 23 00:52:45 2022 $"; + revision : "1.0"; + delay_model : table_lookup; + capacitive_load_unit (1,ff); + current_unit : "1mA"; + leakage_power_unit : "1pW"; + pulling_resistance_unit : "1kohm"; + time_unit : "1ps"; + voltage_unit : "1V"; + voltage_map (VDD, 0.77); + voltage_map (VSS, 0); + voltage_map (GND, 0); + default_cell_leakage_power : 0; + default_fanout_load : 1; + default_max_transition : 320; + default_output_pin_cap : 0; + in_place_swap_mode : match_footprint; + input_threshold_pct_fall : 50; + input_threshold_pct_rise : 50; + nom_process : 1; + nom_temperature : 0; + nom_voltage : 0.77; + output_threshold_pct_fall : 50; + output_threshold_pct_rise : 50; + slew_derate_from_library : 1; + slew_lower_threshold_pct_fall : 10; + slew_lower_threshold_pct_rise : 10; + slew_upper_threshold_pct_fall : 90; + slew_upper_threshold_pct_rise : 90; + operating_conditions (PVT_0P77V_0C) { + process : 1; + temperature : 0; + voltage : 0.77; + } + default_operating_conditions : PVT_0P77V_0C; + lu_table_template (constraint_template_7x7) { + variable_1 : constrained_pin_transition; + variable_2 : related_pin_transition; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + } + lu_table_template (delay_template_7x7) { + variable_1 : input_net_transition; + variable_2 : total_output_net_capacitance; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + } + lu_table_template (mpw_constraint_template_7x7) { + variable_1 : constrained_pin_transition; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + } + power_lut_template (passive_power_template_7x1) { + variable_1 : input_transition_time; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + } + power_lut_template (power_template_7x7) { + variable_1 : input_transition_time; + variable_2 : total_output_net_capacitance; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + } + lu_table_template (waveform_template_name) { + variable_1 : input_net_transition; + variable_2 : normalized_voltage; + index_1 ("0, 1000, 2000, 3000, 4000, 5000, 6000"); + index_2 ("0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16"); + } + input_voltage (default_VDD_VSS_input) { + vil : 0; + vih : 0.77; + vimin : 0; + vimax : 0.77; + } + output_voltage (default_VDD_VSS_output) { + vol : 0; + voh : 0.77; + vomin : 0; + vomax : 0.77; + } + normalized_driver_waveform (waveform_template_name) { + driver_waveform_name : "PreDriver20.5:rise"; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + normalized_driver_waveform (waveform_template_name) { + driver_waveform_name : "PreDriver20.5:fall"; + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + normalized_driver_waveform (waveform_template_name) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0, 0.03, 0.1, 0.158744, 0.221271, 0.279374, 0.333513, 0.3841, 0.437223, 0.533203, 0.58153, 0.626864, 0.717883, 0.806555, 0.9, 0.958983, 1"); + values ( \ + "0, 0.375, 0.625, 0.84375, 1.09375, 1.34375, 1.59375, 1.84375, 2.125, 2.6875, 3, 3.3125, 4, 4.75, 5.625, 6.21875, 6.65625", \ + "0, 0.75, 1.25, 1.6875, 2.1875, 2.6875, 3.1875, 3.6875, 4.25, 5.375, 6, 6.625, 8, 9.5, 11.25, 12.4375, 13.3125", \ + "0, 1.5, 2.5, 3.375, 4.375, 5.375, 6.375, 7.375, 8.5, 10.75, 12, 13.25, 16, 19, 22.5, 24.875, 26.625", \ + "0, 3, 5, 6.75, 8.75, 10.75, 12.75, 14.75, 17, 21.5, 24, 26.5, 32, 38, 45, 49.75, 53.25", \ + "0, 6, 10, 13.5, 17.5, 21.5, 25.5, 29.5, 34, 43, 48, 53, 64, 76, 90, 99.5, 106.5", \ + "0, 12, 20, 27, 35, 43, 51, 59, 68, 86, 96, 106, 128, 152, 180, 199, 213", \ + "0, 24, 40, 54, 70, 86, 102, 118, 136, 172, 192, 212, 256, 304, 360, 398, 426" \ + ); + } + cell (DFFASRHQNx1_ASAP7_75t_SL) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 19071.6; + when : "(CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16572.6; + when : "(CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14544.3; + when : "(CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16560.5; + when : "(CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17902.3; + when : "(CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16531.8; + when : "(CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18766.1; + when : "(CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16734.7; + when : "(CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14020.8; + when : "(CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15363.2; + when : "(CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21381.1; + when : "(!CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17461.3; + when : "(!CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14132.6; + when : "(!CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20876; + when : "(!CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17476.3; + when : "(!CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20214; + when : "(!CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20675.6; + when : "(!CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17309.8; + when : "(!CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18356.4; + when : "(!CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18615.7; + when : "(!CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17628.3; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "27.058, 29.3582, 33.4058, 40.6394, 53.5503, 77.863, 125.998", \ + "27.9359, 30.2369, 34.2833, 41.5194, 54.4138, 78.7492, 126.855", \ + "29.2015, 31.5017, 35.5481, 42.772, 55.6653, 80.0383, 128.102", \ + "30.8892, 33.1884, 37.2294, 44.4547, 57.3689, 81.6721, 129.8", \ + "32.935, 35.2331, 39.2712, 46.4875, 59.3811, 83.7512, 131.788", \ + "35.1088, 37.3975, 41.4187, 48.6169, 61.4914, 85.804, 133.754", \ + "35.9566, 38.2274, 42.2182, 49.3881, 62.2357, 86.5625, 134.567" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "12.1071, 15.5582, 22.3932, 35.6435, 62.3814, 116.673, 228.505", \ + "12.0883, 15.543, 22.368, 35.6903, 62.4416, 117.534, 228.002", \ + "12.1037, 15.5365, 22.3617, 35.6629, 62.4324, 116.355, 228.365", \ + "12.1054, 15.5193, 22.3749, 35.6922, 62.3431, 117.759, 228.173", \ + "12.068, 15.5789, 22.3848, 35.6604, 62.3671, 116.505, 228.241", \ + "12.1432, 15.5871, 22.3492, 35.6194, 62.396, 117.262, 227.758", \ + "12.2701, 15.6577, 22.5073, 35.6588, 62.345, 116.849, 227.548" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.7997, 27.3559, 31.7425, 39.0958, 51.5397, 74.077, 117.585", \ + "25.7116, 28.2627, 32.6474, 40.0014, 52.4269, 74.9597, 118.455", \ + "27.101, 29.6453, 34.0208, 41.3678, 53.8164, 76.3606, 119.926", \ + "28.8958, 31.4308, 35.7984, 43.1395, 55.5862, 78.1269, 121.685", \ + "31.1946, 33.709, 38.0495, 45.3706, 57.779, 80.3206, 123.874", \ + "33.6677, 36.1443, 40.4554, 47.7586, 60.1968, 82.747, 126.291", \ + "35.3681, 37.8316, 42.1257, 49.4198, 61.8498, 84.4144, 128.025" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "12.1799, 15.5075, 21.6135, 33.1248, 55.9322, 101.516, 196.012", \ + "12.1466, 15.4884, 21.5858, 33.2444, 55.9913, 101.608, 195.999", \ + "12.1251, 15.4559, 21.5352, 33.182, 55.9142, 101.488, 194.72", \ + "12.1092, 15.4417, 21.5403, 33.1709, 55.929, 101.554, 194.866", \ + "12.1434, 15.4476, 21.5259, 33.1512, 55.7841, 101.537, 195.712", \ + "12.2867, 15.5737, 21.6236, 33.2108, 55.9385, 101.731, 194.858", \ + "12.8086, 16.0472, 21.9795, 33.4986, 56.1438, 102.182, 194.854" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(CLK & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(CLK * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "31.6383, 33.7729, 37.667, 44.4718, 57.1167, 81.2595, 129.434", \ + "32.1936, 34.3763, 38.2082, 45.0822, 57.6517, 81.9006, 129.839", \ + "33.0609, 35.241, 39.078, 45.9484, 58.5155, 82.7665, 130.68", \ + "34.1131, 36.2798, 40.0994, 46.9925, 59.5969, 83.8098, 131.827", \ + "35.2267, 37.3681, 41.1689, 47.9863, 60.5764, 84.7886, 132.671", \ + "34.183, 36.4608, 40.3308, 47.1977, 59.7803, 83.997, 131.797", \ + "28.1824, 30.5295, 34.4983, 41.3859, 53.9872, 78.1978, 126.207" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4755, 14.7264, 21.3351, 34.4522, 61.3713, 116.739, 228.046", \ + "11.5251, 14.7067, 21.2366, 34.4144, 61.3051, 115.819, 226.677", \ + "11.5327, 14.6905, 21.251, 34.4081, 61.3122, 115.863, 226.58", \ + "11.4217, 14.6746, 21.2345, 34.4382, 61.1274, 116.64, 226.959", \ + "11.8802, 15.0048, 21.5536, 34.6635, 61.3117, 115.756, 226.658", \ + "12.9398, 16.1115, 22.5066, 35.4478, 61.7905, 116.831, 226.678", \ + "13.5049, 16.6822, 23.0154, 36.0911, 62.2813, 116.391, 227.068" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(~CLK & D & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(!CLK * D * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "25.9186, 28.0176, 31.7174, 38.3634, 50.6837, 74.7788, 122.7", \ + "27.0887, 29.1984, 32.8896, 39.5168, 51.84, 75.9748, 124.057", \ + "29.2559, 31.3419, 35.031, 41.6861, 54.0119, 78.0643, 126.037", \ + "33.1219, 35.1692, 38.8346, 45.4704, 57.8408, 81.97, 130.185", \ + "40.3044, 42.3561, 46.0245, 52.6366, 64.9814, 89.1358, 137.297", \ + "53.0474, 55.1385, 58.7854, 65.4058, 77.7609, 101.759, 149.686", \ + "75.8911, 78.0204, 81.6589, 88.2825, 100.612, 124.606, 172.783" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4435, 13.7083, 20.1659, 33.2623, 60.1989, 115.205, 226.243", \ + "10.4466, 13.745, 20.1467, 33.2203, 60.1517, 116.086, 227.498", \ + "10.4971, 13.7186, 20.223, 33.3389, 60.2175, 115.019, 226.14", \ + "10.5115, 13.6838, 20.1594, 33.3504, 59.9181, 115.834, 227.986", \ + "10.5447, 13.7358, 20.302, 33.3405, 60.0313, 115.892, 227.976", \ + "10.7957, 13.9965, 20.3902, 33.5228, 60.3417, 115.248, 227.705", \ + "11.1959, 14.4105, 20.7787, 33.8028, 60.5536, 114.956, 227.542" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "(~CLK & ~D & SETN)"; + timing_sense : negative_unate; + timing_type : preset; + when : "(!CLK * !D * SETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "25.9348, 28.0334, 31.7339, 38.3828, 50.7043, 74.7912, 122.707", \ + "27.1129, 29.1898, 32.888, 39.5383, 51.8609, 75.9368, 123.905", \ + "29.2712, 31.3465, 35.0368, 41.6925, 54.0161, 78.0822, 126.006", \ + "33.1886, 35.232, 38.901, 45.5283, 57.8998, 82.0354, 130.235", \ + "40.3063, 42.3524, 46.0292, 52.6457, 64.9754, 89.1378, 137.3", \ + "53.0582, 55.1442, 58.7918, 65.4109, 77.7657, 101.801, 149.724", \ + "75.8805, 77.9672, 81.6584, 88.2827, 100.609, 124.613, 172.552" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4389, 13.7012, 20.172, 33.2676, 60.1647, 114.846, 226.218", \ + "10.5339, 13.6856, 20.1863, 33.2846, 60.1881, 114.975, 226.103", \ + "10.4775, 13.7238, 20.2082, 33.3133, 60.1722, 114.903, 226.227", \ + "10.5329, 13.7104, 20.1431, 33.3429, 60.0193, 115.947, 228.062", \ + "10.5517, 13.7345, 20.309, 33.353, 59.9624, 115.758, 228.023", \ + "10.8068, 13.9951, 20.4133, 33.5132, 60.2833, 115.279, 227.433", \ + "11.2071, 14.3887, 20.7552, 33.8023, 60.5496, 115.033, 228.006" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_sense : negative_unate; + timing_type : preset; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "25.9186, 28.0176, 31.7174, 38.3634, 50.6837, 74.7788, 122.7", \ + "27.0887, 29.1984, 32.8896, 39.5168, 51.84, 75.9748, 124.057", \ + "29.2559, 31.3419, 35.031, 41.6861, 54.0119, 78.0643, 126.037", \ + "33.1219, 35.1692, 38.8346, 45.4704, 57.8408, 81.97, 130.185", \ + "40.3044, 42.3561, 46.0245, 52.6366, 64.9814, 89.1358, 137.297", \ + "53.0474, 55.1385, 58.7854, 65.4058, 77.7609, 101.759, 149.686", \ + "75.8911, 78.0204, 81.6589, 88.2825, 100.612, 124.606, 172.783" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4435, 13.7083, 20.1659, 33.2623, 60.1989, 115.205, 226.243", \ + "10.4466, 13.745, 20.1467, 33.2203, 60.1517, 116.086, 227.498", \ + "10.4971, 13.7186, 20.223, 33.3389, 60.2175, 115.019, 226.14", \ + "10.5115, 13.6838, 20.1594, 33.3504, 59.9181, 115.834, 227.986", \ + "10.5447, 13.7358, 20.302, 33.3405, 60.0313, 115.892, 227.976", \ + "10.7957, 13.9965, 20.3902, 33.5228, 60.3417, 115.248, 227.705", \ + "11.1959, 14.4105, 20.7787, 33.8028, 60.5536, 114.956, 227.542" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(CLK * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "17.3942, 19.7398, 23.8544, 30.6918, 42.4589, 64.4245, 107.812", \ + "18.4591, 20.7997, 24.9109, 31.7474, 43.4999, 65.4752, 108.885", \ + "20.4774, 22.8083, 26.9058, 33.7207, 45.4635, 67.4136, 110.81", \ + "23.5363, 25.8234, 29.8307, 36.5862, 48.3032, 70.2158, 113.62", \ + "28.5166, 30.8759, 34.9341, 41.7002, 53.4005, 75.2969, 118.645", \ + "36.1905, 38.7842, 43.1243, 50.1316, 61.9176, 83.7656, 127.003", \ + "47.9427, 50.8962, 55.7713, 63.2821, 75.2301, 96.8723, 139.809" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4471, 13.6182, 19.5583, 30.9314, 53.5008, 99.3653, 193.146", \ + "10.51, 13.6982, 19.583, 30.8838, 53.5245, 99.0161, 193.618", \ + "10.8522, 13.9786, 19.8019, 31.1039, 53.6113, 99.423, 193.288", \ + "11.53, 14.5328, 20.156, 31.3022, 53.6552, 99.4118, 193.315", \ + "13.411, 16.2123, 21.5442, 32.3794, 54.2796, 99.7998, 193.415", \ + "17.2484, 19.9711, 25.0787, 35.3071, 56.4839, 101.053, 194.758", \ + "24.1346, 26.8684, 31.6317, 41.1299, 60.7971, 103.252, 194.452" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(CLK * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "16.2576, 18.3253, 21.8155, 27.9985, 39.3069, 61.1865, 104.489", \ + "17.3246, 19.3934, 22.8741, 29.0411, 40.3839, 62.2375, 105.615", \ + "19.2638, 21.2883, 24.7927, 30.9877, 42.2977, 64.1712, 107.468", \ + "22.2311, 24.3449, 27.9016, 34.0969, 45.4768, 67.3166, 110.726", \ + "26.848, 29.0239, 32.673, 39.0045, 50.4539, 72.3078, 115.709", \ + "33.8995, 36.2514, 40.1515, 46.7824, 58.5246, 80.5491, 123.85", \ + "44.5466, 47.1918, 51.5721, 58.7388, 71.214, 94.021, 137.906" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.78469, 11.6783, 17.3423, 28.6961, 51.5477, 98.0539, 193.523", \ + "8.8413, 11.7055, 17.3337, 28.6909, 51.567, 98.2977, 193.724", \ + "9.24201, 12.0234, 17.5928, 28.8721, 51.6477, 98.121, 193.528", \ + "10.0849, 12.8236, 18.3706, 29.381, 52.0807, 98.4349, 194.285", \ + "11.8963, 14.6292, 19.8382, 30.6821, 52.9323, 99.3654, 193.104", \ + "15.3562, 17.9389, 23.151, 33.6201, 55.3491, 100.665, 194.062", \ + "21.7575, 24.3386, 29.381, 39.5501, 60.666, 105.109, 197.152" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * D * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "16.7838, 18.9383, 22.5574, 28.6941, 39.8119, 61.5339, 104.921", \ + "17.4545, 19.5853, 23.2069, 29.3388, 40.4659, 62.1735, 105.536", \ + "18.8045, 20.9313, 24.5233, 30.6369, 41.7534, 63.4015, 106.753", \ + "21.2585, 23.4042, 27.0147, 33.1158, 44.2229, 65.8939, 109.326", \ + "25.7214, 27.9095, 31.4992, 37.5255, 48.5196, 70.0821, 113.507", \ + "32.8678, 35.1454, 38.6908, 44.5412, 55.3082, 76.6356, 119.813", \ + "43.4439, 45.6842, 49.0589, 54.6143, 65.0176, 85.9533, 128.711" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "9.72298, 12.4838, 17.8934, 28.8148, 51.3047, 97.7809, 192.495", \ + "9.69174, 12.4826, 17.8929, 28.8201, 51.1804, 97.6726, 193.129", \ + "10.0642, 12.7485, 18.1003, 28.8704, 51.366, 97.7115, 192.978", \ + "10.9727, 13.6069, 18.842, 29.3992, 51.7073, 97.9382, 193.794", \ + "13.3164, 15.6505, 20.5327, 30.5865, 52.3169, 98.692, 192.535", \ + "17.9655, 19.75, 23.9347, 32.9612, 53.4487, 98.5637, 193.37", \ + "23.8924, 25.5687, 28.9253, 37.0334, 55.6445, 99.1589, 193.268" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * D * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "15.9854, 17.9689, 21.3641, 27.359, 38.4515, 60.2258, 103.64", \ + "16.6021, 18.6094, 22.0098, 27.9914, 39.0969, 60.7926, 104.272", \ + "17.869, 19.8276, 23.2254, 29.2193, 40.3046, 62.0731, 105.425", \ + "20.1487, 22.1658, 25.6385, 31.6663, 42.766, 64.5154, 107.886", \ + "24.1471, 26.2996, 29.895, 36.0979, 47.3002, 68.9819, 112.239", \ + "30.635, 33.0625, 37.0233, 43.748, 55.5056, 77.4751, 120.746", \ + "41.0456, 43.8053, 48.4104, 55.913, 68.8181, 92.1517, 136.515" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.63939, 11.4039, 16.9288, 28.0244, 50.7452, 98.1932, 192.566", \ + "8.60811, 11.4003, 16.8435, 28.0272, 50.848, 97.6481, 193.823", \ + "8.99687, 11.6727, 17.0926, 28.1404, 50.709, 97.7005, 193.238", \ + "9.89936, 12.5281, 17.9183, 28.7619, 51.303, 97.8393, 193.621", \ + "12.271, 14.8094, 20.0251, 30.5931, 52.9225, 98.5484, 193.959", \ + "16.9882, 19.8238, 24.8897, 34.8938, 56.2435, 101.081, 194.216", \ + "25.9144, 28.4284, 33.3827, 43.5555, 64.5012, 108.111, 199.684" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * !D * RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "16.644, 18.7977, 22.4211, 28.5567, 39.6899, 61.3605, 104.764", \ + "17.2811, 19.4311, 23.0537, 29.19, 40.3173, 61.9823, 105.291", \ + "18.6311, 20.7569, 24.3583, 30.4722, 41.5978, 63.2848, 106.696", \ + "21.0537, 23.2119, 26.827, 32.9378, 44.0444, 65.7057, 109.124", \ + "25.4806, 27.6999, 31.3072, 37.3488, 48.3686, 69.9129, 113.144", \ + "32.5934, 34.9082, 38.486, 44.353, 55.1129, 76.465, 119.626", \ + "43.0808, 45.3627, 48.7591, 54.3372, 64.7519, 85.8104, 128.474" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "9.76108, 12.5103, 17.9038, 28.813, 51.3532, 97.7249, 193.255", \ + "9.74365, 12.517, 17.9577, 28.76, 51.266, 97.7447, 192.52", \ + "10.1035, 12.8139, 18.1691, 28.943, 51.3529, 97.8691, 193.579", \ + "11.0207, 13.6573, 18.8768, 29.441, 51.6284, 97.977, 193.737", \ + "13.3683, 15.7703, 20.5984, 30.6387, 52.3677, 98.1882, 193.656", \ + "17.8829, 19.929, 24.0132, 33.118, 53.5316, 98.586, 192.593", \ + "23.788, 25.3056, 29.0219, 37.0802, 55.7174, 99.1733, 193.259" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : clear; + when : "(!CLK * !D * !RESETN)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "15.8663, 17.8425, 21.2346, 27.2249, 38.3181, 60.0912, 103.501", \ + "16.4827, 18.4495, 21.8521, 27.8296, 38.942, 60.6621, 103.974", \ + "17.7198, 19.6762, 23.0749, 29.0636, 40.1604, 61.9198, 105.33", \ + "19.9466, 21.9503, 25.3879, 31.4518, 42.5854, 64.2682, 107.747", \ + "23.9388, 26.0742, 29.6594, 35.8663, 47.1028, 68.7923, 112.146", \ + "30.3791, 32.7906, 36.7659, 43.4686, 55.2641, 77.2923, 120.659", \ + "40.7695, 43.5593, 48.1877, 55.7077, 68.6052, 91.9796, 136.415" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "8.6572, 11.3819, 16.8967, 28.0699, 50.7425, 98.2309, 192.566", \ + "8.6505, 11.3834, 16.8811, 28.051, 50.8897, 97.5999, 192.913", \ + "8.97265, 11.6626, 17.1012, 28.1355, 51.2365, 98.1413, 192.566", \ + "9.8765, 12.5199, 17.8759, 28.7652, 51.3481, 97.9309, 193.79", \ + "12.2574, 14.8293, 19.9433, 30.4853, 52.8915, 99.1509, 192.837", \ + "17.0677, 19.7605, 24.6739, 34.7266, 56.163, 101.051, 194.256", \ + "25.6284, 28.3024, 33.0935, 43.1006, 64.1414, 107.92, 199.293" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_sense : positive_unate; + timing_type : clear; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "17.3942, 19.7398, 23.8544, 30.6918, 42.4589, 64.4245, 107.812", \ + "18.4591, 20.7997, 24.9109, 31.7474, 43.4999, 65.4752, 108.885", \ + "20.4774, 22.8083, 26.9058, 33.7207, 45.4635, 67.4136, 110.81", \ + "23.5363, 25.8234, 29.8307, 36.5862, 48.3032, 70.2158, 113.62", \ + "28.5166, 30.8759, 34.9341, 41.7002, 53.4005, 75.2969, 118.645", \ + "36.1905, 38.7842, 43.1243, 50.1316, 61.9176, 83.7656, 127.003", \ + "47.9427, 50.8962, 55.7713, 63.2821, 75.2301, 96.8723, 139.809" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4471, 13.6182, 19.5583, 30.9314, 53.5008, 99.3653, 193.146", \ + "10.51, 13.6982, 19.583, 30.8838, 53.5245, 99.0161, 193.618", \ + "10.8522, 13.9786, 19.8019, 31.1039, 53.6113, 99.423, 193.288", \ + "11.53, 14.5328, 20.156, 31.3022, 53.6552, 99.4118, 193.315", \ + "13.411, 16.2123, 21.5442, 32.3794, 54.2796, 99.7998, 193.415", \ + "17.2484, 19.9711, 25.0787, 35.3071, 56.4839, 101.053, 194.758", \ + "24.1346, 26.8684, 31.6317, 41.1299, 60.7971, 103.252, 194.452" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(CLK & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(CLK * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.5295, 22.7525, 26.6029, 33.415, 46.04, 70.223, 118.364", \ + "20.8102, 22.9888, 26.86, 33.6719, 46.2998, 70.519, 118.626", \ + "21.5734, 23.7555, 27.5547, 34.401, 46.9717, 71.2344, 119.229", \ + "22.7847, 24.9817, 28.8355, 35.7491, 48.3804, 72.6174, 120.49", \ + "24.8117, 27.0739, 31.0106, 37.9851, 50.7036, 74.8943, 122.896", \ + "27.2134, 29.6343, 33.7619, 40.9855, 54.0935, 78.6511, 126.682", \ + "28.6125, 31.3362, 35.8239, 43.6121, 57.3375, 83.0091, 132.079" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3682, 14.7035, 21.2184, 34.4985, 61.1056, 116.824, 227.949", \ + "11.415, 14.6308, 21.2358, 34.4985, 61.2746, 115.625, 228.556", \ + "11.6401, 14.7925, 21.3582, 34.4593, 61.3913, 115.902, 226.479", \ + "12.1762, 15.2979, 21.8913, 35.0579, 61.6644, 116.054, 226.511", \ + "13.3884, 16.5793, 23.0256, 36.0533, 62.5994, 117.575, 228.4", \ + "16.4199, 19.5162, 25.8622, 38.6626, 64.8893, 118.903, 228.492", \ + "20.7941, 24.6038, 30.9781, 43.7365, 70.1209, 123.665, 232.703" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(!CLK * D * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.5115, 20.596, 24.3201, 30.9122, 43.2942, 67.3915, 115.646", \ + "18.4737, 20.5526, 24.2999, 30.8956, 43.2578, 67.3806, 115.526", \ + "18.6628, 20.7359, 24.409, 31.0262, 43.3829, 67.5029, 115.703", \ + "19.1649, 21.2742, 24.9771, 31.6739, 44.0551, 68.0611, 116.299", \ + "20.3603, 22.5584, 26.3678, 33.188, 45.6624, 69.6917, 117.735", \ + "21.2921, 23.7768, 27.8597, 35.0132, 48.0422, 72.6213, 120.675", \ + "20.4641, 23.2768, 27.9851, 35.9842, 50.0681, 76.3429, 125.717" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.5344, 13.661, 20.2234, 33.3365, 60.5936, 115.431, 227.872", \ + "10.4517, 13.6888, 20.1939, 33.2328, 59.9045, 116.049, 227.686", \ + "10.7736, 13.9282, 20.3389, 33.439, 60.022, 115.861, 227.912", \ + "11.3834, 14.4965, 20.9947, 33.9312, 60.8829, 116.035, 227.763", \ + "13.2343, 16.2828, 22.7149, 35.4909, 61.8941, 116.027, 226.7", \ + "17.022, 20.5718, 26.7262, 39.4545, 65.2709, 119.051, 228.523", \ + "24.1991, 27.0976, 33.9575, 47.3064, 73.3218, 126.067, 234.656" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "(~CLK & ~D & ~RESETN)"; + timing_sense : positive_unate; + timing_type : preset; + when : "(!CLK * !D * !RESETN)"; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.4144, 20.5127, 24.1796, 30.7816, 43.137, 67.2835, 115.465", \ + "18.4158, 20.4764, 24.128, 30.758, 43.1298, 67.188, 115.429", \ + "18.53, 20.6033, 24.2794, 30.8886, 43.2417, 67.3238, 115.252", \ + "19.0012, 21.1222, 24.8102, 31.4455, 43.8329, 67.9289, 115.904", \ + "20.1416, 22.3398, 26.1489, 32.8747, 45.3896, 69.4436, 117.403", \ + "21.0113, 23.5362, 27.594, 34.7449, 47.8302, 72.4226, 120.485", \ + "20.1171, 23.0233, 27.6836, 35.6834, 49.8198, 76.0249, 125.444" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.4483, 13.6614, 20.1714, 33.3724, 60.1404, 116.009, 227.795", \ + "10.4802, 13.6951, 20.1727, 33.2794, 60.214, 115.845, 228.02", \ + "10.744, 13.9162, 20.3691, 33.3385, 60.1899, 115.335, 226.248", \ + "11.3478, 14.4965, 20.9715, 33.771, 60.5961, 115.183, 226.067", \ + "13.2035, 16.289, 22.643, 35.3755, 61.8007, 115.993, 226.678", \ + "17.0633, 20.2671, 26.4822, 39.1831, 65.2434, 118.885, 228.642", \ + "23.6492, 27.3425, 33.6794, 46.8021, 73.0154, 126.163, 234.642" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_sense : positive_unate; + timing_type : preset; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.5295, 22.7525, 26.6029, 33.415, 46.04, 70.223, 118.364", \ + "20.8102, 22.9888, 26.86, 33.6719, 46.2998, 70.519, 118.626", \ + "21.5734, 23.7555, 27.5547, 34.401, 46.9717, 71.2344, 119.229", \ + "22.7847, 24.9817, 28.8355, 35.7491, 48.3804, 72.6174, 120.49", \ + "24.8117, 27.0739, 31.0106, 37.9851, 50.7036, 74.8943, 122.896", \ + "27.2134, 29.6343, 33.7619, 40.9855, 54.0935, 78.6511, 126.682", \ + "28.6125, 31.3362, 35.8239, 43.6121, 57.3375, 83.0091, 132.079" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3682, 14.7035, 21.2184, 34.4985, 61.1056, 116.824, 227.949", \ + "11.415, 14.6308, 21.2358, 34.4985, 61.2746, 115.625, 228.556", \ + "11.6401, 14.7925, 21.3582, 34.4593, 61.3913, 115.902, 226.479", \ + "12.1762, 15.2979, 21.8913, 35.0579, 61.6644, 116.054, 226.511", \ + "13.3884, 16.5793, 23.0256, 36.0533, 62.5994, 117.575, 228.4", \ + "16.4199, 19.5162, 25.8622, 38.6626, 64.8893, 118.903, 228.492", \ + "20.7941, 24.6038, 30.9781, 43.7365, 70.1209, 123.665, 232.703" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.994126, 0.964721, 0.933272, 0.905264, 0.886664, 0.871347, 0.874458", \ + "1.00082, 0.971756, 0.939729, 0.911791, 0.893144, 0.886081, 0.882049", \ + "1.02619, 0.99745, 0.965681, 0.937443, 0.919466, 0.904415, 0.90577", \ + "1.0993, 1.07118, 1.0385, 1.01071, 0.991727, 0.982473, 0.980548", \ + "1.27194, 1.24295, 1.21112, 1.18525, 1.16324, 1.14942, 1.15038", \ + "1.64468, 1.61492, 1.58226, 1.55332, 1.53612, 1.52692, 1.52212", \ + "2.41866, 2.38835, 2.35492, 2.32476, 2.30428, 2.2975, 2.29754" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.02871, 0.996994, 0.958561, 0.925099, 0.902301, 0.886908, 0.87657", \ + "1.03414, 1.00247, 0.964147, 0.930859, 0.907977, 0.89279, 0.882353", \ + "1.05735, 1.02562, 0.986989, 0.95382, 0.931093, 0.916191, 0.905774", \ + "1.12436, 1.09249, 1.05417, 1.02109, 0.998429, 0.983655, 0.973448", \ + "1.29124, 1.25927, 1.22058, 1.18746, 1.16501, 1.15003, 1.13995", \ + "1.66803, 1.63401, 1.59389, 1.55947, 1.53617, 1.52147, 1.51129", \ + "2.4604, 2.42691, 2.38475, 2.34726, 2.32141, 2.30488, 2.29412" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.13591, 1.10735, 1.07567, 1.05052, 1.03333, 1.02214, 1.01486", \ + "1.143, 1.11446, 1.08236, 1.05737, 1.04031, 1.02924, 1.02192", \ + "1.16799, 1.13979, 1.10803, 1.08276, 1.06571, 1.05494, 1.04774", \ + "1.24113, 1.21289, 1.18107, 1.15647, 1.1379, 1.12687, 1.12041", \ + "1.41426, 1.38571, 1.3537, 1.32868, 1.31132, 1.30006, 1.29327", \ + "1.78693, 1.75774, 1.72492, 1.69877, 1.68093, 1.66971, 1.66254", \ + "2.56105, 2.5312, 2.4974, 2.47002, 2.45088, 2.43893, 2.4321" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.16088, 1.12877, 1.08834, 1.05279, 1.03007, 1.00966, 1.00859", \ + "1.16667, 1.13445, 1.09474, 1.05991, 1.0372, 1.01551, 1.01506", \ + "1.18967, 1.15748, 1.11707, 1.08208, 1.06001, 1.04003, 1.03739", \ + "1.25674, 1.22445, 1.18457, 1.14971, 1.12738, 1.10801, 1.10522", \ + "1.42355, 1.39128, 1.35109, 1.31961, 1.29109, 1.27461, 1.27294", \ + "1.7999, 1.76519, 1.72358, 1.68758, 1.6654, 1.64937, 1.64059", \ + "2.59275, 2.55862, 2.51705, 2.4762, 2.451, 2.43528, 2.4214" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(CLK * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.995995, 0.970571, 0.950211, 0.932913, 0.915131, 0.910963, 0.908264", \ + "1.00265, 0.980855, 0.956272, 0.940452, 0.923579, 0.91014, 0.903794", \ + "1.03343, 1.01129, 0.986728, 0.970666, 0.95399, 0.939989, 0.935031", \ + "1.11436, 1.08939, 1.06761, 1.04729, 1.03186, 1.02507, 1.02698", \ + "1.31747, 1.2927, 1.26408, 1.2441, 1.22253, 1.20954, 1.20521", \ + "1.61683, 1.58471, 1.55178, 1.51954, 1.49511, 1.48424, 1.46616", \ + "1.94216, 1.908, 1.86874, 1.83183, 1.80323, 1.78309, 1.77507" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(CLK * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.803855, 0.780298, 0.75843, 0.740497, 0.729123, 0.720539, 0.714997", \ + "0.811542, 0.788909, 0.766181, 0.748614, 0.737085, 0.727871, 0.723411", \ + "0.842451, 0.819974, 0.796925, 0.779303, 0.767174, 0.759112, 0.752874", \ + "0.925769, 0.901922, 0.879112, 0.861219, 0.849572, 0.841608, 0.836023", \ + "1.12969, 1.10441, 1.07821, 1.05712, 1.04219, 1.03243, 1.02591", \ + "1.42846, 1.3974, 1.36325, 1.33409, 1.3129, 1.29842, 1.28907", \ + "1.75476, 1.72104, 1.6833, 1.64837, 1.62223, 1.60435, 1.593" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * D * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.661444, 0.642094, 0.62435, 0.612522, 0.598534, 0.592627, 0.584664", \ + "0.666539, 0.647393, 0.629801, 0.616974, 0.604424, 0.601376, 0.59981", \ + "0.685499, 0.667773, 0.649647, 0.636496, 0.622227, 0.610506, 0.609047", \ + "0.733069, 0.713178, 0.694083, 0.679887, 0.666375, 0.667928, 0.664855", \ + "0.849383, 0.827405, 0.808155, 0.795014, 0.778148, 0.78021, 0.777242", \ + "1.07911, 1.05684, 1.03445, 1.01603, 1.00285, 0.995344, 0.994394", \ + "1.48034, 1.45644, 1.42957, 1.40791, 1.38949, 1.37858, 1.38159" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * D * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.476689, 0.457609, 0.440248, 0.426418, 0.416918, 0.411533, 0.407877", \ + "0.481514, 0.462354, 0.444992, 0.431236, 0.421515, 0.416463, 0.412623", \ + "0.500488, 0.48179, 0.463388, 0.449472, 0.440387, 0.434616, 0.431154", \ + "0.550585, 0.531027, 0.512833, 0.499331, 0.490029, 0.484377, 0.481797", \ + "0.666319, 0.646735, 0.626836, 0.612739, 0.603005, 0.597066, 0.593379", \ + "0.896705, 0.875311, 0.853095, 0.835996, 0.824469, 0.817283, 0.812997", \ + "1.29816, 1.2735, 1.24834, 1.22702, 1.21264, 1.20349, 1.1979" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * !D * SETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.658763, 0.640889, 0.6216, 0.609917, 0.595885, 0.583158, 0.582308", \ + "0.663418, 0.645876, 0.626461, 0.614919, 0.600847, 0.588764, 0.58787", \ + "0.682479, 0.66467, 0.646338, 0.633283, 0.619097, 0.606644, 0.605369", \ + "0.731803, 0.710719, 0.691802, 0.67779, 0.664481, 0.66516, 0.662999", \ + "0.846773, 0.825646, 0.805373, 0.792565, 0.777005, 0.77738, 0.774268", \ + "1.07809, 1.05636, 1.03368, 1.01508, 1.00077, 0.992233, 0.992036", \ + "1.47875, 1.45368, 1.42836, 1.40644, 1.38803, 1.37681, 1.37911" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "RESETN"; + when : "(!CLK * !D * SETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.474104, 0.455323, 0.437679, 0.42382, 0.414389, 0.409295, 0.405377", \ + "0.478759, 0.460244, 0.442612, 0.428633, 0.419196, 0.413648, 0.410122", \ + "0.498012, 0.479312, 0.460981, 0.447227, 0.437998, 0.43321, 0.428694", \ + "0.548379, 0.528893, 0.510511, 0.497159, 0.487799, 0.482441, 0.479675", \ + "0.664276, 0.644474, 0.624584, 0.610382, 0.600983, 0.594991, 0.591299", \ + "0.896098, 0.875102, 0.852849, 0.835736, 0.823843, 0.816431, 0.812234", \ + "1.29697, 1.27239, 1.24703, 1.22589, 1.21153, 1.20235, 1.19691" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.01918, 0.994933, 0.968508, 0.9485, 0.935672, 0.926799, 0.920934", \ + "1.02634, 1.00135, 0.975045, 0.954727, 0.94149, 0.932467, 0.926994", \ + "1.05783, 1.0315, 1.0027, 0.980529, 0.965951, 0.956326, 0.949634", \ + "1.11982, 1.09041, 1.05716, 1.03121, 1.01406, 1.00279, 0.995216", \ + "1.31626, 1.28099, 1.2386, 1.20281, 1.17676, 1.15945, 1.14817", \ + "1.76548, 1.72017, 1.6611, 1.60332, 1.5571, 1.52362, 1.5012", \ + "2.5669, 2.50793, 2.42419, 2.33234, 2.2498, 2.18318, 2.13499" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.726201, 0.70127, 0.673916, 0.652686, 0.638125, 0.623305, 0.618116", \ + "0.732869, 0.707818, 0.680327, 0.6591, 0.645063, 0.630509, 0.632894", \ + "0.764542, 0.738062, 0.70802, 0.685398, 0.668621, 0.65563, 0.658071", \ + "0.828279, 0.798736, 0.763012, 0.73694, 0.71854, 0.703613, 0.704457", \ + "1.02629, 0.989379, 0.946252, 0.911007, 0.881522, 0.861208, 0.858559", \ + "1.4747, 1.42954, 1.36887, 1.31088, 1.26387, 1.23256, 1.21126", \ + "2.27541, 2.21628, 2.13083, 2.04208, 1.95961, 1.88684, 1.84127" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.411884, 0.389536, 0.36762, 0.350828, 0.336383, 0.332678, 0.330392", \ + "0.415812, 0.391938, 0.371461, 0.354145, 0.339109, 0.327404, 0.330638", \ + "0.441439, 0.418825, 0.392629, 0.374974, 0.358441, 0.34511, 0.339335", \ + "0.508967, 0.483396, 0.454794, 0.435407, 0.416181, 0.401004, 0.394608", \ + "0.675391, 0.644863, 0.61112, 0.585233, 0.561701, 0.547208, 0.537138", \ + "1.04841, 1.01279, 0.967393, 0.91884, 0.88323, 0.8553, 0.828813", \ + "1.82723, 1.78265, 1.72391, 1.653, 1.59672, 1.53252, 1.49607" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.597468, 0.581147, 0.567062, 0.557869, 0.552422, 0.549151, 0.546773", \ + "0.604749, 0.58789, 0.573369, 0.564094, 0.558546, 0.555196, 0.552854", \ + "0.634202, 0.615694, 0.599649, 0.588506, 0.581749, 0.577694, 0.57484", \ + "0.712503, 0.690463, 0.668692, 0.6531, 0.643277, 0.637404, 0.633305", \ + "0.896158, 0.867843, 0.837025, 0.811671, 0.792949, 0.782076, 0.775255", \ + "1.30339, 1.26608, 1.22038, 1.17569, 1.13931, 1.11409, 1.09813", \ + "2.1437, 2.09563, 2.02925, 1.95371, 1.88372, 1.82921, 1.79147" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(CLK * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.76675, 0.743589, 0.721136, 0.703, 0.690943, 0.683071, 0.67833", \ + "0.770487, 0.747278, 0.72452, 0.706537, 0.694269, 0.686113, 0.681678", \ + "0.79527, 0.771945, 0.747653, 0.728087, 0.714764, 0.705785, 0.700813", \ + "0.86384, 0.837932, 0.811155, 0.788438, 0.772255, 0.761309, 0.754958", \ + "1.03095, 1.00179, 0.969333, 0.939775, 0.914829, 0.897909, 0.888287", \ + "1.40439, 1.37039, 1.32602, 1.2806, 1.24102, 1.21251, 1.19357", \ + "2.18127, 2.14036, 2.08213, 2.01419, 1.94786, 1.89188, 1.85319" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.236324, 0.220316, 0.206416, 0.197174, 0.18838, 0.180502, 0.190428", \ + "0.242992, 0.227249, 0.212035, 0.20351, 0.194885, 0.187597, 0.194318", \ + "0.272549, 0.25387, 0.236922, 0.225563, 0.215391, 0.206716, 0.216636", \ + "0.34977, 0.330316, 0.306802, 0.288535, 0.277353, 0.275516, 0.276757", \ + "0.533258, 0.507066, 0.475033, 0.448202, 0.429376, 0.420757, 0.405293", \ + "0.940946, 0.903714, 0.860655, 0.810828, 0.772644, 0.750687, 0.724302", \ + "1.78066, 1.73275, 1.66443, 1.58779, 1.5136, 1.46133, 1.42162" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.73504, 0.713928, 0.695026, 0.681729, 0.673562, 0.667781, 0.663922", \ + "0.736006, 0.714443, 0.695292, 0.68217, 0.673601, 0.668025, 0.66418", \ + "0.756491, 0.733092, 0.712287, 0.697456, 0.68752, 0.680971, 0.676679", \ + "0.826527, 0.799195, 0.77304, 0.75269, 0.73929, 0.73042, 0.724924", \ + "1.01473, 0.979571, 0.941613, 0.908772, 0.884289, 0.867816, 0.857473", \ + "1.37433, 1.32759, 1.27276, 1.2179, 1.17092, 1.13624, 1.11413", \ + "1.9698, 1.91247, 1.83979, 1.7595, 1.67906, 1.61167, 1.56453" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.438152, 0.416892, 0.396516, 0.381715, 0.36994, 0.3636, 0.361384", \ + "0.439064, 0.41692, 0.39683, 0.381631, 0.369215, 0.363881, 0.369725", \ + "0.459301, 0.435815, 0.413095, 0.39696, 0.383573, 0.384767, 0.38128", \ + "0.530218, 0.502529, 0.475926, 0.453244, 0.439969, 0.436453, 0.432345", \ + "0.719017, 0.683108, 0.643982, 0.610903, 0.583871, 0.572551, 0.555826", \ + "1.07825, 1.03125, 0.975655, 0.918965, 0.868613, 0.840111, 0.818092", \ + "1.67384, 1.61648, 1.54151, 1.46178, 1.37798, 1.31667, 1.26889" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.333317, 0.313336, 0.297938, 0.28524, 0.277183, 0.273473, 0.271036", \ + "0.33408, 0.314065, 0.299072, 0.286205, 0.275128, 0.273862, 0.27286", \ + "0.352937, 0.332507, 0.311248, 0.297692, 0.282771, 0.282424, 0.280204", \ + "0.411215, 0.386645, 0.365452, 0.34636, 0.334355, 0.326464, 0.322183", \ + "0.582244, 0.551967, 0.521113, 0.493793, 0.468639, 0.444925, 0.431713", \ + "0.968111, 0.929482, 0.879872, 0.825705, 0.782214, 0.750299, 0.720061", \ + "1.76242, 1.71733, 1.65196, 1.57439, 1.49268, 1.41352, 1.36659" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.533463, 0.519212, 0.506534, 0.498489, 0.493825, 0.490833, 0.488992", \ + "0.534598, 0.519524, 0.507069, 0.498769, 0.494264, 0.491473, 0.489557", \ + "0.552361, 0.535077, 0.520691, 0.510706, 0.505047, 0.501396, 0.499296", \ + "0.616427, 0.595343, 0.575775, 0.561462, 0.55177, 0.546295, 0.543031", \ + "0.799982, 0.770572, 0.738763, 0.711538, 0.691293, 0.677339, 0.669358", \ + "1.21891, 1.17799, 1.12575, 1.07354, 1.02904, 0.997179, 0.976169", \ + "2.082, 2.02883, 1.95563, 1.86857, 1.7792, 1.70263, 1.648" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * D * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.662967, 0.643699, 0.625991, 0.612392, 0.603456, 0.598115, 0.59412", \ + "0.663818, 0.644308, 0.62666, 0.612733, 0.60357, 0.598167, 0.59435", \ + "0.682392, 0.661294, 0.641638, 0.626006, 0.616008, 0.609626, 0.60507", \ + "0.740425, 0.717593, 0.694988, 0.675164, 0.661919, 0.652679, 0.647949", \ + "0.91135, 0.882921, 0.851649, 0.821357, 0.79655, 0.77992, 0.769436", \ + "1.29788, 1.26131, 1.2144, 1.16486, 1.11892, 1.08329, 1.0593", \ + "2.09142, 2.04645, 1.98413, 1.90879, 1.82654, 1.74969, 1.69431" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.200322, 0.185208, 0.1745, 0.167262, 0.156946, 0.163993, 0.153695", \ + "0.201543, 0.187047, 0.174366, 0.166344, 0.162569, 0.160751, 0.161828", \ + "0.218494, 0.201387, 0.186787, 0.177647, 0.167313, 0.163334, 0.166916", \ + "0.282879, 0.261034, 0.243734, 0.227016, 0.214946, 0.208399, 0.215231", \ + "0.466192, 0.436563, 0.403381, 0.37895, 0.357507, 0.336407, 0.340372", \ + "0.886055, 0.844637, 0.792095, 0.74183, 0.696687, 0.660139, 0.630246", \ + "1.74875, 1.69266, 1.61873, 1.52564, 1.43634, 1.35416, 1.30058" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * RESETN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.881104, 0.859558, 0.840376, 0.827033, 0.818324, 0.812727, 0.807985", \ + "0.890449, 0.868757, 0.849396, 0.836029, 0.82725, 0.821271, 0.817816", \ + "0.938416, 0.915055, 0.893657, 0.878509, 0.868512, 0.86185, 0.857623", \ + "1.07951, 1.05218, 1.02538, 1.00526, 0.991537, 0.982583, 0.976732", \ + "1.42568, 1.3904, 1.3519, 1.3192, 1.29455, 1.27792, 1.26752", \ + "2.11841, 2.07127, 2.01583, 1.96089, 1.9139, 1.87939, 1.85717", \ + "3.38978, 3.33181, 3.25869, 3.17763, 3.09638, 3.03349, 2.98796" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * RESETN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.529891, 0.507777, 0.487449, 0.471969, 0.45967, 0.461983, 0.458843", \ + "0.53922, 0.516867, 0.496489, 0.48092, 0.468987, 0.469806, 0.467365", \ + "0.586868, 0.563247, 0.54038, 0.523581, 0.51566, 0.511998, 0.509133", \ + "0.728581, 0.700977, 0.673344, 0.650645, 0.639037, 0.633327, 0.629898", \ + "1.07464, 1.03879, 0.999135, 0.964167, 0.940408, 0.916914, 0.920297", \ + "1.76763, 1.72036, 1.66352, 1.60632, 1.55883, 1.52971, 1.49586", \ + "3.03727, 2.9792, 2.90377, 2.8218, 2.7383, 2.67476, 2.63277" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * !RESETN)"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.494189, 0.475442, 0.455815, 0.441731, 0.42905, 0.429036, 0.427323", \ + "0.500036, 0.479603, 0.46061, 0.447466, 0.434106, 0.433198, 0.429875", \ + "0.54134, 0.520621, 0.498784, 0.483926, 0.470168, 0.46533, 0.456659", \ + "0.664716, 0.642691, 0.617865, 0.595639, 0.580231, 0.566978, 0.562609", \ + "0.984635, 0.955491, 0.921943, 0.892368, 0.866848, 0.845783, 0.832516", \ + "1.68589, 1.65098, 1.60127, 1.5488, 1.50393, 1.47445, 1.44289", \ + "3.13379, 3.08776, 3.02431, 2.94411, 2.8635, 2.7861, 2.73662" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.659403, 0.644221, 0.631928, 0.623763, 0.618976, 0.61585, 0.614174", \ + "0.668543, 0.653134, 0.640552, 0.632263, 0.62756, 0.624592, 0.622424", \ + "0.713987, 0.696725, 0.682198, 0.672167, 0.666199, 0.662565, 0.660138", \ + "0.848496, 0.826849, 0.807105, 0.792569, 0.783403, 0.777597, 0.774257", \ + "1.18915, 1.15917, 1.127, 1.10008, 1.08033, 1.06755, 1.05967", \ + "1.94117, 1.89942, 1.84718, 1.79509, 1.75121, 1.72015, 1.69939", \ + "3.48426, 3.43042, 3.35383, 3.26275, 3.17364, 3.10048, 3.04993" \ + ); + } + } + internal_power () { + related_pin : "SETN"; + when : "(!CLK * !D * !RESETN)"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.876682, 0.857207, 0.83869, 0.824775, 0.815819, 0.810286, 0.807019", \ + "0.882809, 0.863262, 0.844532, 0.830172, 0.821798, 0.816302, 0.812128", \ + "0.924228, 0.903301, 0.88317, 0.867545, 0.857459, 0.850893, 0.846568", \ + "1.04847, 1.02513, 1.00171, 0.982193, 0.968848, 0.959585, 0.954677", \ + "1.36736, 1.33874, 1.30576, 1.27552, 1.25195, 1.23586, 1.22576", \ + "2.07029, 2.03412, 1.98649, 1.93689, 1.89182, 1.8582, 1.83455", \ + "3.51664, 3.47265, 3.40901, 3.3291, 3.24766, 3.17591, 3.12329" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.270283, 0.254852, 0.24305, 0.234464, 0.224468, 0.229466, 0.220496", \ + "0.279148, 0.26456, 0.251658, 0.243152, 0.233822, 0.229604, 0.236613", \ + "0.323686, 0.307208, 0.290332, 0.281848, 0.275421, 0.276524, 0.265725", \ + "0.458814, 0.436358, 0.417273, 0.399889, 0.392811, 0.390015, 0.389205", \ + "0.79899, 0.76847, 0.735385, 0.710036, 0.689299, 0.677544, 0.660861", \ + "1.55078, 1.51101, 1.45507, 1.40045, 1.36076, 1.33091, 1.3008", \ + "3.09381, 3.04026, 2.96433, 2.87278, 2.78104, 2.7072, 2.65663" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.543748; + rise_capacitance : 0.543748; + rise_capacitance_range (0.439723, 0.543748); + fall_capacitance : 0.543662; + fall_capacitance_range (0.438076, 0.543662); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * RESETN * SETN)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond8"; + timing_type : min_pulse_width; + when : "(!D * RESETN * SETN)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.805403, 0.815446, 0.854934, 0.955062, 1.17513, 1.62754, 2.52" \ + ); + } + } + internal_power () { + when : "(D * RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.651663, 0.661735, 0.700809, 0.801098, 1.02095, 1.473, 2.36567" \ + ); + } + } + internal_power () { + when : "(D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.351535, 0.358244, 0.382688, 0.451777, 0.615732, 0.976702, 1.72277" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.529648, 0.538143, 0.569965, 0.652067, 0.838535, 1.23162, 2.02451" \ + ); + } + } + internal_power () { + when : "(D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.492442, 0.499558, 0.52333, 0.592933, 0.75701, 1.11741, 1.8641" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.393723, 0.401877, 0.433453, 0.515532, 0.702029, 1.09507, 1.88776" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726162, 0.737318, 0.770138, 0.857884, 1.05792, 1.48594, 2.35425" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.776734, 0.787086, 0.827918, 0.929311, 1.15194, 1.61194, 2.51804" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.890575, 0.901898, 0.934821, 1.02239, 1.22248, 1.65024, 2.51895" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.616851, 0.627062, 0.667737, 0.769109, 0.991468, 1.45112, 2.35722" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473723, 0.482544, 0.512988, 0.594271, 0.784548, 1.19527, 2.03246" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.635677, 0.645821, 0.685204, 0.784453, 1.00211, 1.45421, 2.34658" \ + ); + } + } + internal_power () { + when : "(D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.58788, 0.596927, 0.627151, 0.708636, 0.899062, 1.30963, 2.14726" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.520068, 0.530349, 0.569263, 0.668457, 0.886216, 1.33831, 2.23125" \ + ); + } + } + internal_power () { + when : "(!D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.356807, 0.363196, 0.388477, 0.457472, 0.621787, 0.983315, 1.73159" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.548742, 0.557885, 0.58903, 0.669925, 0.855428, 1.2468, 2.0396" \ + ); + } + } + internal_power () { + when : "(!D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.496575, 0.503322, 0.528728, 0.597512, 0.761795, 1.12306, 1.87191" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.406824, 0.415706, 0.446666, 0.527634, 0.713198, 1.10434, 1.89743" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * SETN * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.907025, 0.915967, 0.947852, 1.03147, 1.21865, 1.60946, 2.39141" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * SETN * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.758433, 0.767278, 0.799165, 0.882602, 1.06987, 1.46068, 2.24278" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515699, 0.522497, 0.547174, 0.618709, 0.786614, 1.1487, 1.89287" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.701853, 0.711335, 0.744732, 0.830995, 1.02246, 1.41991, 2.20917" \ + ); + } + } + internal_power () { + when : "(!D * RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.686171, 0.693364, 0.717511, 0.789716, 0.957263, 1.31908, 2.06341" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.523316, 0.532355, 0.56597, 0.652528, 0.843607, 1.24134, 2.03075" \ + ); + } + } + internal_power () { + when : "(!D * !RESETN * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.359376, 0.365538, 0.391216, 0.461403, 0.628849, 0.989311, 1.73902" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.545423, 0.554768, 0.585208, 0.665507, 0.849901, 1.23916, 2.0308" \ + ); + } + } + internal_power () { + when : "(!D * !RESETN * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.505361, 0.512142, 0.53734, 0.607516, 0.775392, 1.13553, 1.88566" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.393534, 0.402477, 0.432892, 0.513894, 0.697644, 1.08682, 1.87908" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.695154; + rise_capacitance : 0.695154; + rise_capacitance_range (0.631582, 0.695154); + fall_capacitance : 0.694477; + fall_capacitance_range (0.614393, 0.694477); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(RESETN * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.970762, -0.618236, 0.043128, -1.63818, -4.00547, -2.40613, -7.20245", \ + "-1.03515, -0.682626, -0.0212621, -2.87079, -0.0723644, -2.47052, -7.26684", \ + "-1.15901, -0.806482, -0.145118, -2.99464, -0.19622, -2.59438, -7.39069", \ + "-4.19678, -1.0345, -0.373132, -1.99219, -0.424235, -2.82239, -10.498", \ + "-1.76426, -1.41174, -0.750372, -3.5999, -4.79897, -7.19713, -11.9934", \ + "-5.57077, -5.21824, -4.55688, -3.4089, -4.60798, -7.00614, -11.8025", \ + "-1.8216, -1.46907, -0.807708, -2.48047, -0.85881, -7.25447, -12.0508" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.2373, 8.68961, 9.55434, 12.3315, 15.0708, 14.969, 14.7653", \ + "8.5438, 8.99611, 9.86084, 11.4308, 15.3773, 15.2755, 15.0718", \ + "9.16681, 9.61912, 10.4839, 12.0538, 16.0004, 15.8985, 15.6948", \ + "11.6797, 10.9052, 11.7699, 14.6094, 17.2864, 17.1846, 14.1016", \ + "17.1827, 17.635, 18.4997, 20.0697, 20.0187, 19.9169, 15.7157", \ + "23.2881, 23.7404, 24.6051, 26.175, 26.1241, 26.0223, 21.8211", \ + "38.0617, 38.514, 39.3787, 38.3437, 36.9002, 32.8009, 28.5997" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.970762, -0.618236, 0.043128, -1.63818, -4.00547, -2.40613, -7.20245", \ + "-1.03515, -0.682626, -0.0212621, -2.87079, -0.0723644, -2.47052, -7.26684", \ + "-1.15901, -0.806482, -0.145118, -2.99464, -0.19622, -2.59438, -7.39069", \ + "-4.19678, -1.0345, -0.373132, -1.99219, -0.424235, -2.82239, -10.498", \ + "-1.76426, -1.41174, -0.750372, -3.5999, -4.79897, -7.19713, -11.9934", \ + "-5.57077, -5.21824, -4.55688, -3.4089, -4.60798, -7.00614, -11.8025", \ + "-1.8216, -1.46907, -0.807708, -2.48047, -0.85881, -7.25447, -12.0508" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.2373, 8.68961, 9.55434, 12.3315, 15.0708, 14.969, 14.7653", \ + "8.5438, 8.99611, 9.86084, 11.4308, 15.3773, 15.2755, 15.0718", \ + "9.16681, 9.61912, 10.4839, 12.0538, 16.0004, 15.8985, 15.6948", \ + "11.6797, 10.9052, 11.7699, 14.6094, 17.2864, 17.1846, 14.1016", \ + "17.1827, 17.635, 18.4997, 20.0697, 20.0187, 19.9169, 15.7157", \ + "23.2881, 23.7404, 24.6051, 26.175, 26.1241, 26.0223, 21.8211", \ + "38.0617, 38.514, 39.3787, 38.3437, 36.9002, 32.8009, 28.5997" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(RESETN * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.729, 8.96104, 7.50129, 5.9375, 5.75073, 7.47925, 14.9338", \ + "9.80756, 9.0396, 7.57984, 8.96253, 5.82929, 7.5578, 15.0123", \ + "9.98325, 9.21529, 7.75553, 9.13822, 6.00498, 7.73349, 15.188", \ + "11.4404, 9.64097, 8.18122, 6.67969, 6.43066, 8.15918, 12.7344", \ + "15.555, 14.7871, 13.3273, 10.7125, 11.5768, 9.30777, 16.7623", \ + "19.0411, 18.2731, 16.8134, 14.1986, 11.0653, 12.7938, 16.2509", \ + "30.7687, 30.0008, 28.541, 23.0469, 18.7955, 16.5265, 19.9835" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.81226, 3.12941, 1.83471, 1.5293, -3.39934, -1.2616, 11.0089", \ + "4.16568, 3.48283, 2.18813, -0.117281, -3.04591, -0.908177, 11.3623", \ + "4.87206, 4.18921, 2.89451, 0.589098, 1.65797, -0.201797, 12.0687", \ + "7.31445, 5.60011, 4.30541, 4, 3.06887, 1.2091, 10.8916", \ + "13.0948, 12.412, 11.1173, 8.81187, 5.88324, 4.02348, 4.30145", \ + "18.6938, 18.011, 16.7163, 14.4109, 7.48475, 5.62499, 5.90296", \ + "29.7729, 25.0926, 23.7979, 23.3076, 18.5639, 8.70909, 8.98706" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.729, 8.96104, 7.50129, 5.9375, 5.75073, 7.47925, 14.9338", \ + "9.80756, 9.0396, 7.57984, 8.96253, 5.82929, 7.5578, 15.0123", \ + "9.98325, 9.21529, 7.75553, 9.13822, 6.00498, 7.73349, 15.188", \ + "11.4404, 9.64097, 8.18122, 6.67969, 6.43066, 8.15918, 12.7344", \ + "15.555, 14.7871, 13.3273, 10.7125, 11.5768, 9.30777, 16.7623", \ + "19.0411, 18.2731, 16.8134, 14.1986, 11.0653, 12.7938, 16.2509", \ + "30.7687, 30.0008, 28.541, 23.0469, 18.7955, 16.5265, 19.9835" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.81226, 3.12941, 1.83471, 1.5293, -3.39934, -1.2616, 11.0089", \ + "4.16568, 3.48283, 2.18813, -0.117281, -3.04591, -0.908177, 11.3623", \ + "4.87206, 4.18921, 2.89451, 0.589098, 1.65797, -0.201797, 12.0687", \ + "7.31445, 5.60011, 4.30541, 4, 3.06887, 1.2091, 10.8916", \ + "13.0948, 12.412, 11.1173, 8.81187, 5.88324, 4.02348, 4.30145", \ + "18.6938, 18.011, 16.7163, 14.4109, 7.48475, 5.62499, 5.90296", \ + "29.7729, 25.0926, 23.7979, 23.3076, 18.5639, 8.70909, 8.98706" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0609081, -0.0609726, -0.0609864, -0.06099, -0.0609994, -0.0608682, -0.0607802" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.076754, 0.0755349, 0.0748539, 0.0743603, 0.0739822, 0.0737467, 0.0734" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.110214, 0.110244, 0.110435, 0.110325, 0.11033, 0.110152, 0.110173" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0938376, -0.0950662, -0.0956964, -0.0963739, -0.0966018, -0.0968591, -0.0968871" \ + ); + } + } + internal_power () { + when : "(!CLK * RESETN * SETN) + (!CLK * !RESETN * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.265159, 0.271344, 0.300078, 0.387819, 0.601414, 1.06817, 2.02927" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.442818, 0.452249, 0.489722, 0.593782, 0.833116, 1.33488, 2.36003" \ + ); + } + } + internal_power () { + when : "(!CLK * RESETN * SETN) + (!CLK * !RESETN * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.440644, 0.446871, 0.475192, 0.563573, 0.776998, 1.24345, 2.2044" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.266995, 0.276095, 0.313488, 0.417696, 0.65718, 1.15939, 2.18435" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.101807, 0.108397, 0.137802, 0.22513, 0.431893, 0.875663, 1.78288" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.287545, 0.296435, 0.332703, 0.43167, 0.65709, 1.13053, 2.09338" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.327924, 0.334782, 0.364115, 0.451575, 0.658283, 1.10164, 2.00841" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0584207, 0.067105, 0.10327, 0.202575, 0.428269, 0.902108, 1.86458" \ + ); + } + } + } + pin (RESETN) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.870959; + rise_capacitance : 0.870959; + rise_capacitance_range (0.775282, 0.870959); + fall_capacitance : 0.870482; + fall_capacitance_range (0.720463, 0.870482); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : recovery_rising; + when : "(D * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.97681, -8.83731, -10.4997, -12.5586, -14.8356, -18.0662, -20.0369", \ + "-7.70975, -8.57025, -10.2327, -13.323, -14.5685, -17.7992, -19.7699", \ + "-7.14187, -8.00238, -9.66478, -12.7552, -14.0007, -17.2313, -19.202", \ + "-3.87109, -6.7316, -8.394, -10.3125, -12.7299, -15.9605, -20.8106", \ + "-2.78944, -3.64995, -5.31235, -4.40522, -9.64823, -12.8789, -18.8471", \ + "9.53176, 8.67126, 7.00885, 3.91848, -1.32453, -4.55517, -10.5234", \ + "34.8208, 33.9602, 32.2978, 26.3574, 19.967, 12.7388, 2.77312" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.97681, -8.83731, -10.4997, -12.5586, -14.8356, -18.0662, -20.0369", \ + "-7.70975, -8.57025, -10.2327, -13.323, -14.5685, -17.7992, -19.7699", \ + "-7.14187, -8.00238, -9.66478, -12.7552, -14.0007, -17.2313, -19.202", \ + "-3.87109, -6.7316, -8.394, -10.3125, -12.7299, -15.9605, -20.8106", \ + "-2.78944, -3.64995, -5.31235, -4.40522, -9.64823, -12.8789, -18.8471", \ + "9.53176, 8.67126, 7.00885, 3.91848, -1.32453, -4.55517, -10.5234", \ + "34.8208, 33.9602, 32.2978, 26.3574, 19.967, 12.7388, 2.77312" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : removal_rising; + when : "(D * SETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.5464, 17.3397, 18.874, 18.7476, 22.4785, 25.0011, 25.387", \ + "13.3155, 14.1113, 15.6457, 18.4852, 23.2476, 25.7702, 26.1561", \ + "14.8685, 15.6643, 17.1987, 20.0382, 24.8006, 27.3232, 27.7091", \ + "19.1626, 18.8293, 20.3636, 24.375, 27.9655, 30.4882, 31.9922", \ + "24.5992, 25.395, 26.9293, 29.7688, 34.5312, 41.0514, 45.4347", \ + "38.674, 39.4698, 41.0041, 47.8411, 52.6035, 59.1237, 67.5045", \ + "62.6019, 67.3952, 72.9271, 76.9141, 88.524, 107.037, 119.416" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.5464, 17.3397, 18.874, 18.7476, 22.4785, 25.0011, 25.387", \ + "13.3155, 14.1113, 15.6457, 18.4852, 23.2476, 25.7702, 26.1561", \ + "14.8685, 15.6643, 17.1987, 20.0382, 24.8006, 27.3232, 27.7091", \ + "19.1626, 18.8293, 20.3636, 24.375, 27.9655, 30.4882, 31.9922", \ + "24.5992, 25.395, 26.9293, 29.7688, 34.5312, 41.0514, 45.4347", \ + "38.674, 39.4698, 41.0041, 47.8411, 52.6035, 59.1237, 67.5045", \ + "62.6019, 67.3952, 72.9271, 76.9141, 88.524, 107.037, 119.416" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "adacond2"; + timing_type : min_pulse_width; + when : "(CLK * SETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "adacond3"; + timing_type : min_pulse_width; + when : "(!CLK * SETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "CLK"; + timing_type : non_seq_hold_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.18408, 1.03112, 0.723827, 1.33057, 2.8392, 0.227398, -5.34698", \ + "1.34686, 1.1939, 0.886604, 0.266537, 3.00198, 0.390175, -5.1842", \ + "1.67617, 1.52321, 1.21592, 0.595853, 3.3313, 0.719491, -4.85488", \ + "3.57666, 2.19689, 1.8896, 2.53906, 0.00747433, -2.60433, -7.02148", \ + "7.7549, 7.60194, 7.29464, 2.67708, 1.41502, -1.19679, -10.7687", \ + "10.8107, 10.6578, 10.3505, 9.73042, 4.47086, -2.13844, -11.7103", \ + "25.8804, 21.73, 21.4227, 13.9648, 7.54805, 0.93875, -8.63313" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_hold_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1133, 10.8584, 10.3626, 10.498, 11.7799, 13.388, 12.2125", \ + "11.3609, 11.106, 10.6103, 13.6726, 12.0275, 13.6356, 12.4602", \ + "11.9024, 11.6475, 11.1517, 14.2141, 12.569, 10.1796, 9.00413", \ + "14.2798, 12.9153, 12.4195, 12.6562, 13.8368, 11.4474, 7.39258", \ + "20.4426, 20.1877, 19.692, 18.7568, 17.1117, 14.7223, 9.54939", \ + "33.9477, 33.6928, 33.197, 32.2619, 30.6168, 24.2299, 19.0569", \ + "64.7931, 64.5382, 60.045, 56.2598, 53.4672, 47.0803, 37.9098" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_type : non_seq_hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1133, 10.8584, 10.3626, 10.498, 11.7799, 13.388, 12.2125", \ + "11.3609, 11.106, 10.6103, 13.6726, 12.0275, 13.6356, 12.4602", \ + "11.9024, 11.6475, 11.1517, 14.2141, 12.569, 10.1796, 9.00413", \ + "14.2798, 12.9153, 12.4195, 12.6562, 13.8368, 11.4474, 7.39258", \ + "20.4426, 20.1877, 19.692, 18.7568, 17.1117, 14.7223, 9.54939", \ + "33.9477, 33.6928, 33.197, 32.2619, 30.6168, 24.2299, 19.0569", \ + "64.7931, 64.5382, 60.045, 56.2598, 53.4672, 47.0803, 37.9098" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "CLK"; + timing_type : non_seq_setup_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.37402, 8.16444, 7.7953, 8.48389, 10.1821, 17.6248, 27.7323", \ + "8.20133, 7.99175, 7.6226, 7.08439, 10.0094, 17.4521, 27.5596", \ + "7.89872, 7.68914, 7.31999, 6.78178, 9.7068, 17.1495, 27.257", \ + "8.69141, 7.25502, 6.88587, 7.61719, 9.27268, 16.7154, 28.4686", \ + "10.0183, 9.80873, 9.43959, 8.90137, 11.8264, 19.2691, 29.3765", \ + "17.6456, 17.436, 17.0669, 16.5287, 15.4562, 18.9014, 33.0063", \ + "25.3406, 25.131, 24.7619, 25.3809, 23.1512, 26.5964, 36.7038" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_setup_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.08258, -2.81477, -2.27056, -4.07471, 1.23531, 6.55143, 15.386", \ + "-3.29005, -3.02224, -2.47803, -1.35523, 1.02784, 6.34396, 15.1785", \ + "-3.73346, -3.46565, -2.92144, -1.79865, 0.58443, 1.90304, 14.7351", \ + "-7.62207, -4.46638, -3.92217, -5.625, -4.4138, 0.902315, 14.7344", \ + "-11.1887, -10.9209, -10.3767, -9.25393, -6.87085, -1.55474, 11.2773", \ + "-17.9252, -17.6574, -17.1132, -15.9904, -13.6073, -8.29122, 4.54083", \ + "-34.6902, -34.4224, -33.8782, -35.6055, -30.3723, -25.0562, -12.2242" \ + ); + } + } + timing () { + related_pin : "SETN"; + timing_type : non_seq_setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.37402, 8.16444, 7.7953, 8.48389, 10.1821, 17.6248, 27.7323", \ + "8.20133, 7.99175, 7.6226, 7.08439, 10.0094, 17.4521, 27.5596", \ + "7.89872, 7.68914, 7.31999, 6.78178, 9.7068, 17.1495, 27.257", \ + "8.69141, 7.25502, 6.88587, 7.61719, 9.27268, 16.7154, 28.4686", \ + "10.0183, 9.80873, 9.43959, 8.90137, 11.8264, 19.2691, 29.3765", \ + "17.6456, 17.436, 17.0669, 16.5287, 15.4562, 18.9014, 33.0063", \ + "25.3406, 25.131, 24.7619, 25.3809, 23.1512, 26.5964, 36.7038" \ + ); + } + } + internal_power () { + when : "(CLK * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0765355, -0.0766406, -0.0766118, -0.076673, -0.0766332, -0.0766373, -0.0766066" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0883775, 0.0879868, 0.087745, 0.0876394, 0.0874183, 0.0871484, 0.0868355" \ + ); + } + } + internal_power () { + when : "(CLK * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.124118, 0.124497, 0.12435, 0.124478, 0.124389, 0.124292, 0.124119" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.111869, -0.112208, -0.112448, -0.112888, -0.113007, -0.112903, -0.112885" \ + ); + } + } + internal_power () { + when : "(CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.189056, 0.192001, 0.213786, 0.28609, 0.469424, 0.875993, 1.72256" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352448, 0.359975, 0.38927, 0.46932, 0.662993, 1.08831, 1.9766" \ + ); + } + } + internal_power () { + when : "(CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46077, 0.463871, 0.485927, 0.55814, 0.741012, 1.14764, 1.994" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0765041, 0.083926, 0.113008, 0.193053, 0.38679, 0.81239, 1.70145" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0706933, -0.0720716, -0.0728912, -0.073638, -0.0738794, -0.0742022, -0.0743594" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0914039, 0.0908577, 0.0904531, 0.0902527, 0.0900299, 0.089737, 0.0894127" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.129531, 0.128306, 0.127343, 0.12689, 0.126354, 0.126262, 0.125842" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.109086, -0.10965, -0.110043, -0.110551, -0.110906, -0.110755, -0.11083" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0441475, 0.0467716, 0.061176, 0.102813, 0.20038, 0.408553, 0.836669" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.166847, 0.173063, 0.194547, 0.246265, 0.360183, 0.598722, 1.08391" \ + ); + } + } + internal_power () { + when : "(!CLK * !SETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.277387, 0.279983, 0.294477, 0.336148, 0.433824, 0.641739, 1.06991" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0702457, -0.0642045, -0.0431649, 0.00886056, 0.123426, 0.361527, 0.847163" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SETN * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0712774, -0.0713768, -0.071326, -0.0714029, -0.0713686, -0.0713177, -0.0713299" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.083523, 0.083184, 0.0829707, 0.0828736, 0.0826944, 0.0825192, 0.082228" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SETN * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.129372, 0.129689, 0.12945, 0.129684, 0.129619, 0.129391, 0.129323" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.116672, -0.116968, -0.117176, -0.11756, -0.117689, -0.117584, -0.117474" \ + ); + } + } + } + pin (SETN) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.25186; + rise_capacitance : 1.25056; + rise_capacitance_range (0.992933, 1.25056); + fall_capacitance : 1.25186; + fall_capacitance_range (1.00503, 1.25186); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : recovery_rising; + when : "(!D * RESETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.928281, -5.39443, -6.3017, -5.99609, -10.9043, -10.8008, -10.8992", \ + "-0.315988, -4.78214, -5.68941, -7.3838, -10.292, -10.1885, -10.2869", \ + "-3.07675, -3.54541, -4.45267, -6.14707, -9.05525, -8.95173, -9.05015", \ + "1.44531, -1.02334, -1.93061, -1.625, -6.53318, -6.42967, -9.3336", \ + "4.68382, 4.21517, 3.3079, 1.61351, -1.29468, -5.18866, -5.28708", \ + "19.9358, 15.4697, 14.5624, 12.868, 9.95984, 6.06586, 5.96743", \ + "49.5524, 49.0837, 44.179, 40.4871, 35.5789, 31.6849, 31.5865" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : recovery_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.928281, -5.39443, -6.3017, -5.99609, -10.9043, -10.8008, -10.8992", \ + "-0.315988, -4.78214, -5.68941, -7.3838, -10.292, -10.1885, -10.2869", \ + "-3.07675, -3.54541, -4.45267, -6.14707, -9.05525, -8.95173, -9.05015", \ + "1.44531, -1.02334, -1.93061, -1.625, -6.53318, -6.42967, -9.3336", \ + "4.68382, 4.21517, 3.3079, 1.61351, -1.29468, -5.18866, -5.28708", \ + "19.9358, 15.4697, 14.5624, 12.868, 9.95984, 6.06586, 5.96743", \ + "49.5524, 49.0837, 44.179, 40.4871, 35.5789, 31.6849, 31.5865" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : removal_rising; + when : "(!D * RESETN)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.6348, 16.0609, 16.8844, 19.5459, 21.023, 24.7308, 23.822", \ + "15.3467, 15.7728, 16.5963, 18.1287, 20.735, 24.4428, 23.534", \ + "14.7883, 15.2143, 20.0353, 21.5677, 20.1765, 23.8843, 22.9755", \ + "14.9097, 18.165, 18.9885, 17.7344, 23.1272, 22.8375, 23.0469", \ + "15.9261, 16.3522, 17.1757, 18.7081, 21.3143, 21.0246, 24.1134", \ + "13.4234, 13.8495, 14.673, 16.2054, 18.8116, 18.5219, 21.6106", \ + "12.9098, 13.3359, 14.1594, 12.8613, 14.3006, 18.0084, 17.0996" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : removal_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.6348, 16.0609, 16.8844, 19.5459, 21.023, 24.7308, 23.822", \ + "15.3467, 15.7728, 16.5963, 18.1287, 20.735, 24.4428, 23.534", \ + "14.7883, 15.2143, 20.0353, 21.5677, 20.1765, 23.8843, 22.9755", \ + "14.9097, 18.165, 18.9885, 17.7344, 23.1272, 22.8375, 23.0469", \ + "15.9261, 16.3522, 17.1757, 18.7081, 21.3143, 21.0246, 24.1134", \ + "13.4234, 13.8495, 14.673, 16.2054, 18.8116, 18.5219, 21.6106", \ + "12.9098, 13.3359, 14.1594, 12.8613, 14.3006, 18.0084, 17.0996" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "CLK"; + timing_type : non_seq_hold_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5517, 5.82468, 6.36808, 8.69141, 9.69658, 14.3163, 28.176", \ + "9.40217, 5.67516, 6.21855, 7.31508, 9.54705, 14.1667, 28.0264", \ + "9.12936, 5.40234, 5.94574, 7.04226, 9.27424, 13.8939, 27.7536", \ + "5.9375, 8.95914, 9.50254, 7.89062, 8.83354, 13.4532, 24.4824", \ + "9.90588, 10.1764, 6.72225, 7.81878, 10.0508, 14.6704, 24.5326", \ + "8.98252, 9.25301, 9.7964, 10.8929, 13.1249, 17.7446, 23.6093", \ + "17.2092, 17.4796, 18.023, 16.2793, 21.3515, 21.9737, 31.8359" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_hold_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.08258, -3.29005, -3.73346, -7.62207, -11.1887, -17.9252, -34.6902", \ + "-2.79595, -3.00342, -3.44684, -4.44756, -10.9021, -17.6386, -34.4036", \ + "-2.23293, -2.4404, -2.88381, -3.88454, -10.3391, -17.0756, -33.8406", \ + "-4.07471, -1.35523, -1.79865, -5.625, -9.25393, -15.9904, -35.6055", \ + "-3.1385, -3.34597, -3.78938, -4.79011, -7.24716, -13.9836, -30.7487", \ + "0.220812, 0.013342, -0.430072, -1.4308, -3.88785, -10.6243, -27.3893", \ + "8.32, 8.11253, 7.66912, 3.78906, 0.213842, -6.52264, -23.2877" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_type : non_seq_hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5517, 5.82468, 6.36808, 8.69141, 9.69658, 14.3163, 28.176", \ + "9.40217, 5.67516, 6.21855, 7.31508, 9.54705, 14.1667, 28.0264", \ + "9.12936, 5.40234, 5.94574, 7.04226, 9.27424, 13.8939, 27.7536", \ + "5.9375, 8.95914, 9.50254, 7.89062, 8.83354, 13.4532, 24.4824", \ + "9.90588, 10.1764, 6.72225, 7.81878, 10.0508, 14.6704, 24.5326", \ + "8.98252, 9.25301, 9.7964, 10.8929, 13.1249, 17.7446, 23.6093", \ + "17.2092, 17.4796, 18.023, 16.2793, 21.3515, 21.9737, 31.8359" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "CLK"; + timing_type : non_seq_setup_rising; + when : "CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.02148, -1.28317, -1.76427, -1.33057, 3.23595, 6.8276, 18.0084", \ + "-1.19021, -1.4519, -1.933, 1.2714, 3.06723, 6.65888, 17.8397", \ + "-1.52708, -1.78877, -2.26987, 0.934531, -1.26714, 2.32451, 13.5053", \ + "-0.952148, -2.46017, -2.94127, -2.15625, -1.93855, 1.6531, 10.3975", \ + "-3.53197, -3.79366, -0.27726, -1.07036, -3.27203, 0.319618, 7.50292", \ + "-6.16163, -6.42332, -2.90692, -3.70002, -5.9017, -6.30754, 4.87326", \ + "-11.2717, -7.53591, -8.01701, -11.6504, -11.0118, -11.4176, -0.236828" \ + ); + } + } + timing () { + related_pin : "RESETN"; + sdf_cond : "~CLK"; + timing_type : non_seq_setup_rising; + when : "!CLK"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.87744, 7.10254, 7.59253, 9.84131, 15.6443, 28.0189, 54.9611", \ + "6.95391, 7.179, 7.66899, 12.8057, 15.7207, 28.0953, 51.04", \ + "7.08879, 7.31389, 7.80387, 12.9405, 15.8556, 28.2302, 51.1749", \ + "8.35693, 7.51147, 8.00146, 10.3125, 16.0532, 24.4303, 49.375", \ + "6.23797, 6.46306, 6.95305, 8.09222, 15.0048, 23.3819, 46.3266", \ + "6.45089, 6.67598, 7.16597, 8.30513, 11.2202, 19.5973, 42.542", \ + "3.94502, 4.17011, 4.6601, 2.91993, 4.71684, 13.094, 36.0386" \ + ); + } + } + timing () { + related_pin : "RESETN"; + timing_type : non_seq_setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.87744, 7.10254, 7.59253, 9.84131, 15.6443, 28.0189, 54.9611", \ + "6.95391, 7.179, 7.66899, 12.8057, 15.7207, 28.0953, 51.04", \ + "7.08879, 7.31389, 7.80387, 12.9405, 15.8556, 28.2302, 51.1749", \ + "8.35693, 7.51147, 8.00146, 10.3125, 16.0532, 24.4303, 49.375", \ + "6.23797, 6.46306, 6.95305, 8.09222, 15.0048, 23.3819, 46.3266", \ + "6.45089, 6.67598, 7.16597, 8.30513, 11.2202, 19.5973, 42.542", \ + "3.94502, 4.17011, 4.6601, 2.91993, 4.71684, 13.094, 36.0386" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "adacond5"; + timing_type : min_pulse_width; + when : "(CLK * RESETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.9727, 21.9727, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "SETN"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(!CLK * RESETN)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(CLK * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.124345, -0.124384, -0.124462, -0.124442, -0.124436, -0.124475, -0.124357" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.134822, 0.13448, 0.134208, 0.134039, 0.133886, 0.133604, 0.133298" \ + ); + } + } + internal_power () { + when : "(CLK * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.15304, 0.153158, 0.153418, 0.153281, 0.153264, 0.153246, 0.153031" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.142047, -0.1424, -0.142646, -0.142793, -0.142953, -0.142888, -0.142778" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.120127, -0.120156, -0.120169, -0.120185, -0.120166, -0.120231, -0.120125" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.132267, 0.131636, 0.131217, 0.130815, 0.1305, 0.130191, 0.129676" \ + ); + } + } + internal_power () { + when : "(!CLK * D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.157641, 0.157738, 0.157736, 0.157753, 0.157704, 0.157695, 0.157347" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.144803, -0.145438, -0.145951, -0.146182, -0.14646, -0.146663, -0.146678" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESETN * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0310882, 0.0355003, 0.0572865, 0.120243, 0.268502, 0.585026, 1.23527" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.286486, 0.293401, 0.31874, 0.387708, 0.54516, 0.879136, 1.55969" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * RESETN * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.362692, 0.367473, 0.389281, 0.451985, 0.600134, 0.916859, 1.56643" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0452741, -0.0386389, -0.0131884, 0.0555949, 0.213179, 0.547353, 1.22835" \ + ); + } + } + } + ff (IQN,IQNN) { + clear : "!SETN"; + clear_preset_var1 : L; + clear_preset_var2 : L; + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + preset : "!RESETN"; + } + } + + cell (DFFHQNx1_ASAP7_75t_SL) { + area : 0.2916; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 11370; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15557.9; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13561.5; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13017.6; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13550.6; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16639.7; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16614.5; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15319.6; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14453.9; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.7805, 26.9797, 30.8403, 37.7663, 50.2933, 74.3307, 122.11", \ + "25.5954, 27.7927, 31.6553, 38.5826, 51.1074, 75.1448, 122.924", \ + "26.7619, 28.9558, 32.8168, 39.7418, 52.2689, 76.3062, 124.085", \ + "28.3385, 30.5346, 34.4031, 41.3176, 53.8397, 77.8747, 125.658", \ + "30.3164, 32.5136, 36.3624, 43.2802, 55.7952, 79.8672, 127.646", \ + "32.1595, 34.3485, 38.1858, 45.0896, 57.5959, 81.6603, 129.686", \ + "32.5566, 34.7717, 38.5127, 45.4379, 57.8827, 81.9259, 129.665" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.3967, 14.7452, 21.3316, 34.3906, 60.9371, 115.146, 225.304", \ + "11.3968, 14.748, 21.3292, 34.3907, 60.9367, 115.146, 225.304", \ + "11.391, 14.7398, 21.3277, 34.3897, 60.937, 115.146, 225.304", \ + "11.452, 14.7482, 21.358, 34.3969, 60.9411, 115.147, 225.306", \ + "11.3897, 14.7884, 21.3231, 34.7452, 60.9626, 115.177, 225.338", \ + "11.4602, 14.8165, 21.5053, 34.4035, 60.9807, 116.108, 225.603", \ + "11.5635, 14.8712, 21.4132, 34.4185, 60.9311, 115.999, 226.081" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "22.2612, 24.616, 28.7084, 35.5625, 47.4504, 69.5956, 113.076", \ + "23.1065, 25.4592, 29.5503, 36.4037, 48.2919, 70.437, 113.917", \ + "24.4177, 26.7578, 30.838, 37.6837, 49.5672, 71.7051, 115.19", \ + "26.0582, 28.3953, 32.4755, 39.3165, 51.2094, 73.3562, 116.837", \ + "28.09, 30.4198, 34.4908, 41.3304, 53.2133, 75.3756, 118.868", \ + "30.1449, 32.4677, 36.526, 43.3757, 55.2673, 77.4409, 120.94", \ + "31.0569, 33.3734, 37.4436, 44.2751, 56.2758, 78.4023, 121.882" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.8936, 14.044, 19.9423, 31.4583, 54.3032, 100.667, 195.307", \ + "10.8868, 14.038, 19.9409, 31.454, 54.3011, 100.665, 195.316", \ + "10.903, 14.052, 19.9489, 31.4602, 54.3043, 100.643, 195.317", \ + "10.9592, 14.0719, 19.9723, 31.4804, 54.3176, 100.687, 195.315", \ + "11.0273, 14.193, 20.0438, 31.6409, 54.4093, 100.657, 195.34", \ + "11.2856, 14.3985, 20.2358, 31.7219, 54.5473, 101.375, 195.387", \ + "11.8961, 14.9574, 20.7194, 32.0586, 54.813, 101.112, 195.788" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.865322, 0.837863, 0.809992, 0.788827, 0.774852, 0.765741, 0.760001", \ + "0.873262, 0.845661, 0.817679, 0.796708, 0.782541, 0.773429, 0.76787", \ + "0.900609, 0.872818, 0.844921, 0.823642, 0.809471, 0.80056, 0.79499", \ + "0.975294, 0.946593, 0.919184, 0.897016, 0.882779, 0.873618, 0.868068", \ + "1.14833, 1.12217, 1.09431, 1.07765, 1.05705, 1.04786, 1.04235", \ + "1.52544, 1.49658, 1.47048, 1.44698, 1.43915, 1.45774, 1.43621", \ + "2.29585, 2.26362, 2.23782, 2.20808, 2.20112, 2.22111, 2.20722" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.834291, 0.806214, 0.77506, 0.751319, 0.735874, 0.725461, 0.718647", \ + "0.841484, 0.813327, 0.781936, 0.758357, 0.742916, 0.732521, 0.725762", \ + "0.870448, 0.84207, 0.810647, 0.786804, 0.77123, 0.760949, 0.754046", \ + "0.94054, 0.911404, 0.880682, 0.856645, 0.841107, 0.830855, 0.824019", \ + "1.11547, 1.08714, 1.05442, 1.02851, 1.01284, 1.0024, 0.995675", \ + "1.5, 1.47, 1.43325, 1.4073, 1.38975, 1.37846, 1.37128", \ + "2.29467, 2.26204, 2.22421, 2.19295, 2.17015, 2.161, 2.15269" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.0247, 0.997228, 0.96932, 0.948147, 0.934163, 0.925032, 0.919405", \ + "1.03263, 1.00501, 0.977004, 0.955992, 0.941809, 0.932707, 0.927159", \ + "1.0593, 1.0316, 1.00376, 0.982524, 0.968375, 0.959477, 0.953938", \ + "1.13349, 1.10521, 1.07856, 1.05599, 1.04176, 1.03273, 1.02772", \ + "1.30665, 1.28026, 1.24999, 1.22877, 1.21455, 1.20624, 1.20015", \ + "1.68439, 1.65566, 1.62655, 1.60392, 1.58858, 1.57966, 1.57409", \ + "2.45468, 2.42207, 2.39615, 2.36673, 2.3553, 2.34692, 2.34093" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.986468, 0.958397, 0.927222, 0.903471, 0.887983, 0.877499, 0.870683", \ + "0.992929, 0.964839, 0.933511, 0.909953, 0.894524, 0.884098, 0.877282", \ + "1.02016, 0.99093, 0.959771, 0.935755, 0.920088, 0.909735, 0.902757", \ + "1.09082, 1.06144, 1.03023, 1.00563, 0.989811, 0.979377, 0.972559", \ + "1.26586, 1.23776, 1.20732, 1.18369, 1.16391, 1.1517, 1.14362", \ + "1.65024, 1.62099, 1.5858, 1.55979, 1.54929, 1.55214, 1.52383", \ + "2.44532, 2.41271, 2.3749, 2.34386, 2.32866, 2.32638, 2.31234" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556457; + rise_capacitance : 0.556457; + rise_capacitance_range (0.464612, 0.556457); + fall_capacitance : 0.55641; + fall_capacitance_range (0.459242, 0.55641); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.712431, 0.722557, 0.763505, 0.863337, 1.07833, 1.51938, 2.38859" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.568188, 0.578474, 0.620275, 0.719434, 0.934632, 1.375, 2.24451" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.329914, 0.337336, 0.365113, 0.434276, 0.600809, 0.964003, 1.71348" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.501229, 0.511018, 0.544465, 0.629352, 0.814689, 1.20683, 2.0009" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481421, 0.48814, 0.515779, 0.584867, 0.751369, 1.11447, 1.86396" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352906, 0.362315, 0.396361, 0.481356, 0.665997, 1.05814, 1.85294" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.323622, 0.3308, 0.358966, 0.428675, 0.596597, 0.961876, 1.70966" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.51464, 0.524234, 0.556912, 0.642821, 0.82883, 1.21856, 2.01164" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481981, 0.48961, 0.517695, 0.586977, 0.754997, 1.12032, 1.86833" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.351422, 0.36083, 0.394554, 0.479878, 0.666215, 1.05553, 1.8489" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.7947, 0.804144, 0.836171, 0.919937, 1.10659, 1.49547, 2.2772" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.615981, 0.625339, 0.658239, 0.742635, 0.929065, 1.31701, 2.09922" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692778; + rise_capacitance : 0.692778; + rise_capacitance_range (0.635118, 0.692778); + fall_capacitance : 0.691811; + fall_capacitance_range (0.619496, 0.691811); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.867617, 1.21109, 1.85747, 0.080567, 2.00374, 0.035275, -3.90166", \ + "0.979374, 1.32285, 1.96923, -0.897764, 2.1155, 0.147032, -3.78991", \ + "1.19908, 1.54255, 2.18893, -0.678063, -1.6623, 0.366733, -3.57021", \ + "-1.22559, 1.96671, -1.38441, 0.9375, -1.23814, -3.20661, -6.02538", \ + "-1.58694, -1.24347, -0.597082, 0.533422, -0.450813, -2.41928, -6.35622", \ + "-0.256226, 0.0872487, 0.733632, 1.86414, 0.879902, -1.08857, -9.02301", \ + "5.42694, 5.77041, 6.4168, 4.70703, 2.56556, 0.597095, -7.33734" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.08838, 7.46838, 8.1979, 10.6836, 13.7662, 14.2338, 15.1689", \ + "7.34277, 7.72278, 8.45229, 9.78931, 14.0206, 14.4882, 11.4258", \ + "7.85602, 8.23603, 8.96554, 10.3026, 14.5338, 15.0014, 11.939", \ + "9.90039, 9.2804, 10.0099, 12.3814, 15.5782, 16.0458, 14.1016", \ + "11.0606, 15.4381, 16.1676, 17.5046, 17.7384, 18.206, 15.1436", \ + "19.6642, 20.0442, 20.7738, 22.1108, 22.3445, 22.8121, 19.7497", \ + "30.0197, 30.3997, 31.1292, 30.4687, 32.7, 29.1701, 26.1077" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.96436, 5.4936, 4.60239, 4.0332, 3.8841, 5.60983, 9.06127", \ + "5.9942, 5.52344, 4.63224, 3.05109, 3.91395, 5.63967, 9.09112", \ + "6.06956, 5.5988, 4.7076, 3.12645, 3.98931, 5.71503, 9.16648", \ + "7.31445, 5.8122, 4.921, 4.45312, 4.20271, 5.92843, 10.498", \ + "10.9579, 10.4872, 9.59598, 8.01483, 4.88019, 6.60591, 14.0549", \ + "13.3157, 12.8449, 11.9537, 10.3726, 7.23792, 8.96364, 16.4126", \ + "22.0422, 21.5714, 16.6827, 16.1016, 15.9644, 13.6927, 17.1441" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41893, 1.68656, 0.30049, -1.12549, -0.778632, 5.97557, 15.4865", \ + "2.99061, 2.25824, 0.872175, 2.4122, -0.206946, 2.54976, 16.0582", \ + "4.1143, 3.38193, 1.99587, 3.53589, 0.916745, 3.67345, 17.1819", \ + "7.31445, 5.55059, 4.16452, 3.4141, 3.0854, 1.8446, 13.3555", \ + "10.3054, 9.57301, 8.18694, 5.72947, 3.11032, 1.86952, 11.3804", \ + "17.0906, 16.3583, 14.9722, 12.5147, 9.89557, 8.65477, 10.1707", \ + "29.6203, 28.8879, 27.5019, 23.0469, 18.4277, 13.1894, 10.7078" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0608607, -0.0617292, -0.0625721, -0.0626826, -0.0636748, -0.0635133, -0.0634914" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0730929, 0.0731089, 0.0735198, 0.0739154, 0.0740222, 0.0733823, 0.0733157" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112187, 0.111216, 0.110365, 0.110171, 0.110114, 0.109093, 0.107824" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0990939, -0.0988369, -0.0998948, -0.0996173, -0.099775, -0.0993156, -0.0985725" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192656, 0.200409, 0.233835, 0.327007, 0.54688, 1.01681, 1.97352" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.364547, 0.375722, 0.415805, 0.521807, 0.762127, 1.26371, 2.27531" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.399571, 0.40672, 0.439845, 0.533641, 0.752575, 1.22309, 2.17911" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.15894, 0.169455, 0.210302, 0.316043, 0.556755, 1.05747, 2.06992" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQNx2_ASAP7_75t_SL) { + area : 0.30618; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 14205.1; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19319.4; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16396.7; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16779.1; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16386.1; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20404.9; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19453.1; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19081.4; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17753.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "29.6528, 32.0665, 36.2317, 43.5102, 56.4775, 80.9005, 128.969", \ + "30.4627, 32.8719, 37.0363, 44.3162, 57.2829, 81.706, 129.775", \ + "31.6218, 34.0301, 38.1982, 45.4718, 58.4426, 82.8659, 130.94", \ + "33.1885, 35.5903, 39.7547, 47.0458, 59.9928, 84.4211, 132.488", \ + "35.1329, 37.5451, 41.7005, 48.9848, 61.9338, 86.3635, 134.438", \ + "36.9921, 39.4089, 43.5523, 50.8374, 63.7633, 88.1607, 136.355", \ + "37.5289, 39.9295, 44.071, 51.3081, 64.2216, 88.609, 136.686" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.1694, 18.3046, 24.5664, 37.553, 64.0527, 118.366, 229.241", \ + "15.1731, 18.3037, 24.5717, 37.5533, 64.054, 118.367, 229.243", \ + "15.1658, 18.2961, 24.5631, 37.5402, 64.0521, 118.365, 229.243", \ + "15.1652, 18.3002, 24.5671, 37.5866, 64.051, 118.373, 229.249", \ + "15.1634, 18.3011, 24.5725, 37.8711, 64.0527, 118.371, 229.257", \ + "15.2724, 18.4129, 24.6282, 37.6276, 64.3441, 118.865, 229.378", \ + "15.4714, 18.5684, 24.7857, 37.7361, 64.6836, 118.407, 233.36" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "27.4061, 29.9902, 34.4386, 41.7862, 54.3439, 77.2127, 121.313", \ + "28.2361, 30.8178, 35.268, 42.6147, 55.1728, 78.0379, 122.142", \ + "29.4955, 32.0712, 36.5189, 43.8636, 56.4218, 79.2903, 123.392", \ + "31.1091, 33.6923, 38.1321, 45.4776, 58.0335, 80.9026, 125.004", \ + "33.0508, 35.6207, 40.0539, 47.398, 59.9321, 82.8111, 126.918", \ + "35.0096, 37.5712, 41.9978, 49.3405, 61.9143, 84.7689, 128.882", \ + "35.8182, 38.367, 42.7948, 50.1418, 62.7188, 85.6562, 129.881" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.135, 18.0927, 23.7994, 35.3414, 58.7048, 106.007, 202.861", \ + "15.121, 18.0795, 23.7861, 35.3345, 58.6986, 105.975, 202.86", \ + "15.0765, 18.0421, 23.7669, 35.3139, 58.6836, 105.994, 202.857", \ + "15.074, 18.0553, 23.7609, 35.3216, 58.7263, 106.019, 202.862", \ + "15.0574, 18.0239, 23.7737, 35.3331, 58.6941, 106, 202.868", \ + "15.1455, 18.1391, 23.8952, 35.428, 58.8065, 106.535, 202.967", \ + "15.4883, 18.4672, 24.187, 35.6974, 59.0248, 106.303, 204.395" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.34147, 1.25613, 1.15026, 1.06104, 1.00054, 0.960957, 0.935607", \ + "1.34949, 1.26382, 1.15751, 1.06879, 1.00823, 0.968715, 0.943198", \ + "1.37632, 1.29026, 1.18495, 1.09491, 1.03508, 0.995449, 0.97018", \ + "1.44975, 1.36274, 1.25708, 1.16613, 1.10725, 1.06538, 1.03919", \ + "1.62214, 1.5388, 1.42891, 1.35651, 1.27604, 1.23603, 1.2091", \ + "2.00181, 1.914, 1.80716, 1.71712, 1.66712, 1.65071, 1.59404", \ + "2.78118, 2.69162, 2.58142, 2.49158, 2.45183, 2.39475, 2.63399" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.40623, 1.31756, 1.20249, 1.09659, 1.02597, 0.981445, 0.952989", \ + "1.41272, 1.32357, 1.20867, 1.10288, 1.03235, 0.987906, 0.959512", \ + "1.43766, 1.3488, 1.23422, 1.12868, 1.05835, 1.01406, 0.985829", \ + "1.50629, 1.41931, 1.30253, 1.19706, 1.12855, 1.08352, 1.05494", \ + "1.67523, 1.58454, 1.47048, 1.36355, 1.29502, 1.25118, 1.22284", \ + "2.05297, 1.96492, 1.84769, 1.73944, 1.66549, 1.62092, 1.59292", \ + "2.84712, 2.75739, 2.63541, 2.52133, 2.44438, 2.3962, 2.3669" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.50079, 1.41545, 1.30954, 1.22027, 1.15976, 1.12028, 1.09493", \ + "1.50882, 1.42311, 1.31675, 1.22799, 1.1674, 1.12789, 1.10246", \ + "1.53461, 1.44856, 1.34327, 1.2532, 1.19336, 1.15375, 1.1285", \ + "1.60773, 1.52159, 1.41585, 1.32855, 1.26564, 1.22685, 1.20131", \ + "1.78031, 1.69616, 1.58642, 1.49991, 1.43781, 1.39844, 1.3737", \ + "2.16027, 2.0727, 1.96337, 1.87497, 1.81156, 1.77107, 1.74503", \ + "2.93991, 2.85104, 2.74073, 2.64545, 2.58023, 2.53692, 2.51174" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55837, 1.4697, 1.35461, 1.24864, 1.17798, 1.13339, 1.10473", \ + "1.56416, 1.4751, 1.36027, 1.25451, 1.18395, 1.13945, 1.11094", \ + "1.58759, 1.49855, 1.38385, 1.2783, 1.20798, 1.16366, 1.13531", \ + "1.65578, 1.56623, 1.45161, 1.34585, 1.27225, 1.22914, 1.20069", \ + "1.82669, 1.73483, 1.61999, 1.51421, 1.44386, 1.39667, 1.36629", \ + "2.20346, 2.11508, 2.00151, 1.89836, 1.82846, 1.79498, 1.73757", \ + "2.99783, 2.90931, 2.78574, 2.67194, 2.59642, 2.55262, 2.60277" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556525; + rise_capacitance : 0.556525; + rise_capacitance_range (0.464479, 0.556525); + fall_capacitance : 0.55631; + fall_capacitance_range (0.458807, 0.55631); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.76562, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.712053, 0.722672, 0.763606, 0.863551, 1.07893, 1.52112, 2.39509" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.568697, 0.578764, 0.620367, 0.71968, 0.935517, 1.37717, 2.25088" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.330817, 0.337848, 0.36581, 0.436047, 0.601106, 0.964504, 1.71393" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502121, 0.511841, 0.545213, 0.628531, 0.815351, 1.20729, 2.00184" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.481371, 0.488755, 0.51646, 0.586529, 0.751853, 1.1155, 1.86468" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.354002, 0.363166, 0.397139, 0.480312, 0.666662, 1.05863, 1.85339" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.324603, 0.331493, 0.359733, 0.429314, 0.597165, 0.962544, 1.70905" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515877, 0.525414, 0.558425, 0.643843, 0.829686, 1.21945, 2.01262" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.483354, 0.490467, 0.518424, 0.587411, 0.756037, 1.12114, 1.86739" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352744, 0.36206, 0.395706, 0.480946, 0.667144, 1.05647, 1.84992" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.795968, 0.805116, 0.837065, 0.920918, 1.10741, 1.49636, 2.27803" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.617825, 0.626293, 0.659112, 0.743411, 0.929999, 1.31788, 2.10003" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.693031; + rise_capacitance : 0.693031; + rise_capacitance_range (0.635279, 0.693031); + fall_capacitance : 0.691993; + fall_capacitance_range (0.619582, 0.691993); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.749512, -0.857664, 2.92478, -0.329589, 1.66959, 0.089617, -2.74932", \ + "-0.819681, -0.927834, 2.85461, 2.42953, 1.59942, 0.0194472, -2.81949", \ + "-0.946527, -1.05468, 2.72777, 2.30268, 1.47257, -0.107398, -2.94634", \ + "0.0610347, -1.25439, 2.52806, -0.625, 1.27286, -0.307112, -6.02538", \ + "-0.466135, -0.574288, -0.789339, -1.21443, -2.04454, -3.62451, -6.46344", \ + "-0.833178, 3.05617, 2.84112, 2.41603, 1.58592, -3.99155, -6.83049", \ + "3.6145, 3.50634, 7.28879, 4.0625, 2.0361, 0.456125, -6.38031" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.3501, 10.6984, 11.3674, 9.80469, 12.3891, 12.0167, 15.2692", \ + "6.85825, 11.2065, 11.8756, 13.0835, 12.8973, 12.5248, 11.7798", \ + "7.86253, 8.21331, 12.8798, 14.0878, 13.9016, 13.5291, 12.7841", \ + "11.0693, 10.1738, 10.8428, 13.3594, 15.862, 15.4896, 11.8652", \ + "13.5516, 13.9024, 14.5714, 15.7794, 19.5906, 19.2182, 14.4757", \ + "20.2395, 20.5903, 21.2593, 22.4673, 22.281, 21.9085, 21.1636", \ + "34.5353, 34.8861, 35.5552, 34.7656, 32.5794, 32.2069, 23.4669" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.96436, 5.4936, 4.60239, 4.0332, 3.8841, 5.60983, 9.06127", \ + "5.98959, 5.51884, 4.62763, 3.04648, 3.90934, 5.63507, 9.08651", \ + "6.06035, 5.58959, 4.69838, 3.11723, 3.9801, 5.70582, 9.15727", \ + "7.31445, 5.8122, 4.921, 4.45312, 4.20271, 5.92843, 10.498", \ + "11.0501, 10.5793, 9.68812, 8.10697, 4.97233, 6.69805, 14.147", \ + "13.887, 13.4162, 12.525, 10.9438, 7.8092, 9.53492, 12.9864", \ + "24.7512, 24.2804, 23.3892, 19.0469, 14.6759, 12.4041, 15.8556" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.22933, 1.53058, 0.205208, -1.12549, -1.11049, 0.982506, 13.1635", \ + "2.831, 2.13225, 0.806881, -1.55531, -0.508813, 1.58418, 13.7652", \ + "4.01176, 3.31301, 1.98765, 3.62295, 0.67195, -1.23256, 10.9484", \ + "7.31445, 5.58421, 4.25884, 3.7933, 2.94315, 1.03864, 11.2221", \ + "10.464, 9.76527, 8.4399, 6.07771, 3.12671, 1.2222, 5.40819", \ + "17.3808, 16.6821, 15.3567, 12.9945, 10.0435, 8.13901, 8.32749", \ + "29.4307, 28.7319, 27.4066, 23.0469, 18.0959, 12.1939, 12.3824" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0601288, -0.0609247, -0.0618389, -0.061916, -0.062944, -0.0627304, -0.0627564" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0742168, 0.0738763, 0.0742739, 0.0740593, 0.0747917, 0.0742539, 0.0740705" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112932, 0.111228, 0.111111, 0.110515, 0.110894, 0.109671, 0.10857" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0988022, -0.098102, -0.0991519, -0.0983225, -0.0986219, -0.0986433, -0.09783" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193352, 0.201085, 0.234505, 0.327688, 0.547483, 1.01546, 1.97414" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.365757, 0.376223, 0.416784, 0.523036, 0.76278, 1.26463, 2.27621" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.400333, 0.407459, 0.440578, 0.534382, 0.753359, 1.2215, 2.17984" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.160112, 0.170131, 0.211179, 0.31673, 0.55668, 1.05833, 2.07076" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQNx3_ASAP7_75t_SL) { + area : 0.32076; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 17040.3; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23080.9; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19231.8; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20540.6; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19221.5; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24170.1; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22291.8; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22843.3; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21052.6; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "34.2389, 36.0907, 39.2628, 44.7983, 54.3095, 71.559, 104.314", \ + "35.0379, 36.889, 40.0527, 45.5949, 55.1053, 72.3565, 105.116", \ + "36.1864, 38.0394, 41.2096, 46.7476, 56.2557, 73.5088, 106.269", \ + "37.727, 39.5858, 42.7528, 48.2801, 57.7991, 75.0359, 107.791", \ + "39.6388, 41.4903, 44.6617, 50.194, 59.7333, 76.9266, 109.698", \ + "41.4285, 43.2796, 46.4637, 51.9917, 61.4826, 78.7329, 111.659", \ + "42.0299, 43.9061, 47.0623, 52.5755, 62.0576, 79.2549, 111.993" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "18.9506, 20.8089, 24.7238, 32.9562, 50.4629, 86.5707, 160.642", \ + "18.9491, 20.8132, 24.7191, 32.9567, 50.4721, 86.5717, 160.646", \ + "18.9402, 20.7994, 24.7155, 32.9508, 50.4584, 86.568, 160.645", \ + "18.9513, 20.8175, 24.7198, 32.9765, 50.5016, 86.5855, 160.658", \ + "18.9313, 20.9123, 24.7388, 33.0533, 50.5287, 86.5585, 160.635", \ + "19.0377, 20.9157, 24.796, 33.0152, 50.4904, 86.8923, 160.934", \ + "19.3205, 21.1973, 25.0685, 33.1744, 50.6324, 86.6188, 161.62" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "32.1151, 34.0334, 37.4053, 43.1543, 52.633, 69.1297, 99.4961", \ + "32.9286, 34.8511, 38.2227, 43.9721, 53.4511, 69.969, 100.318", \ + "34.145, 36.0645, 39.4361, 45.1866, 54.6627, 71.167, 101.538", \ + "35.7299, 37.6482, 41.0337, 46.7712, 56.2481, 72.7503, 103.115", \ + "37.5562, 39.4779, 42.8469, 48.5971, 58.0548, 74.5678, 104.939", \ + "39.3477, 41.2719, 44.6411, 50.3856, 59.873, 76.3958, 106.757", \ + "40.018, 41.951, 45.3223, 51.0837, 60.6026, 77.1722, 107.594" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.2127, 20.8754, 24.4375, 31.7036, 46.8176, 77.7456, 140.821", \ + "19.195, 20.8593, 24.4244, 31.6938, 46.8136, 77.7448, 140.82", \ + "19.1365, 20.8076, 24.3798, 31.6587, 46.7787, 77.7371, 140.822", \ + "19.1078, 20.7815, 24.3758, 31.6566, 46.7999, 77.7758, 140.826", \ + "19.0007, 20.6896, 24.2908, 31.7399, 46.7434, 77.7089, 140.786", \ + "18.9875, 20.6994, 24.3049, 31.6454, 46.9666, 78.0421, 140.925", \ + "19.1697, 20.8963, 24.5219, 31.9013, 47.0256, 78.3089, 141.837" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.14967, 2.03594, 1.85435, 1.63012, 1.44264, 1.31572, 1.23188", \ + "2.15694, 2.04365, 1.86015, 1.63728, 1.44987, 1.32303, 1.23897", \ + "2.18219, 2.06853, 1.88682, 1.66301, 1.47558, 1.34889, 1.26526", \ + "2.25547, 2.14174, 1.95924, 1.7341, 1.54308, 1.41539, 1.32861", \ + "2.42624, 2.31498, 2.13322, 1.90995, 1.72622, 1.58782, 1.50529", \ + "2.80256, 2.69347, 2.50567, 2.28587, 2.08732, 1.99254, 1.91444", \ + "3.59111, 3.47566, 3.28955, 3.05781, 2.87571, 2.75746, 2.73808" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.28272, 2.16057, 1.96752, 1.72242, 1.49705, 1.34764, 1.25538", \ + "2.28752, 2.16571, 1.97301, 1.72804, 1.50282, 1.35363, 1.26144", \ + "2.30993, 2.18822, 1.99563, 1.75097, 1.52621, 1.37768, 1.28616", \ + "2.37636, 2.25315, 2.06445, 1.81765, 1.59454, 1.44908, 1.355", \ + "2.53463, 2.41258, 2.22216, 1.98077, 1.75658, 1.60792, 1.51754", \ + "2.90495, 2.78532, 2.59326, 2.34812, 2.12264, 1.97363, 1.88205", \ + "3.68535, 3.5696, 3.37344, 3.12618, 2.89097, 2.73819, 2.64449" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.30898, 2.19522, 2.01362, 1.78933, 1.60183, 1.4749, 1.39122", \ + "2.31625, 2.20293, 2.0194, 1.79645, 1.609, 1.4821, 1.39817", \ + "2.34068, 2.22702, 2.04529, 1.82144, 1.63395, 1.50718, 1.42365", \ + "2.41541, 2.30295, 2.11948, 1.89473, 1.71048, 1.58156, 1.49752", \ + "2.58394, 2.4705, 2.28785, 2.06222, 1.87634, 1.74834, 1.66433", \ + "2.96052, 2.84905, 2.66455, 2.44036, 2.24829, 2.11998, 2.03602", \ + "3.74984, 3.63363, 3.44848, 3.21406, 3.02102, 2.8853, 2.7978" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.435, 2.31285, 2.11977, 1.87461, 1.64921, 1.49986, 1.40726", \ + "2.43907, 2.31735, 2.12473, 1.87981, 1.6546, 1.50534, 1.41299", \ + "2.46027, 2.33847, 2.14582, 1.90122, 1.6765, 1.528, 1.43638", \ + "2.52556, 2.40251, 2.21178, 1.96669, 1.74047, 1.58566, 1.49659", \ + "2.68522, 2.5628, 2.37373, 2.14251, 1.8995, 1.75342, 1.65518", \ + "3.05551, 2.93689, 2.74632, 2.49958, 2.29095, 2.15253, 2.03384", \ + "3.83614, 3.72005, 3.52361, 3.27675, 3.04141, 2.9373, 2.93798" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556581; + rise_capacitance : 0.556165; + rise_capacitance_range (0.464613, 0.556165); + fall_capacitance : 0.556581; + fall_capacitance_range (0.458148, 0.556581); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.5176, 30.5176, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0637, 28.0637, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.713517, 0.723276, 0.764211, 0.864181, 1.08041, 1.52352, 2.39905" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.568858, 0.579316, 0.620845, 0.720239, 0.936607, 1.37939, 2.2549" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.331984, 0.33885, 0.366771, 0.437057, 0.601663, 0.965196, 1.71459" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.504012, 0.513047, 0.54627, 0.630055, 0.815937, 1.20816, 2.00277" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.482879, 0.489835, 0.517466, 0.587626, 0.752737, 1.11627, 1.86543" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355264, 0.364302, 0.398196, 0.481936, 0.667794, 1.05955, 1.85423" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325803, 0.332828, 0.360927, 0.430337, 0.598191, 0.963774, 1.71003" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517424, 0.526955, 0.559846, 0.645169, 0.830875, 1.22064, 2.01384" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.484421, 0.491729, 0.519718, 0.588865, 0.757138, 1.12237, 1.86846" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355164, 0.36355, 0.397003, 0.48219, 0.668271, 1.05757, 1.85105" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.796708, 0.806277, 0.838163, 0.922677, 1.10893, 1.4974, 2.27894" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618806, 0.627367, 0.660103, 0.744644, 0.930372, 1.31882, 2.101" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.693136; + rise_capacitance : 0.693136; + rise_capacitance_range (0.635314, 0.693136); + fall_capacitance : 0.692033; + fall_capacitance_range (0.619638, 0.692033); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.459902, 0.712563, 1.18582, -0.74707, 1.07566, -0.781201, -4.49493", \ + "0.664598, 0.917259, 1.39052, 2.20879, 1.28036, -0.576505, -4.29023", \ + "1.06199, 1.31465, 1.78791, 2.60618, 1.67775, -0.179112, -3.89284", \ + "-0.903321, 2.06144, 2.5347, 0.703125, -1.57296, -3.42982, -6.02538", \ + "-0.887137, -0.634476, -0.161217, 0.657053, -0.271378, -2.12824, -5.84196", \ + "0.948069, 1.20073, 1.67399, 2.49226, 1.56383, -4.29053, -8.00426", \ + "5.54413, 5.79679, 6.27005, 4.32617, 2.16238, 0.305522, -7.4057" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90186, 7.44016, 8.46971, 11.6455, 14.3151, 14.269, 14.1769", \ + "7.30202, 7.84032, 8.86987, 10.7407, 14.7152, 14.6692, 14.5771", \ + "8.10275, 8.64105, 9.6706, 11.5415, 15.516, 15.4699, 11.3803", \ + "11.0498, 10.2441, 11.2737, 14.5313, 17.119, 17.073, 14.1016", \ + "16.9159, 17.4542, 18.4837, 16.3571, 20.3316, 20.2855, 16.196", \ + "23.3667, 23.905, 24.9346, 22.8079, 22.7849, 22.7389, 18.6493", \ + "36.3713, 36.9096, 37.9391, 37.8125, 35.7895, 31.7459, 27.6564" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.96436, 5.4936, 4.60239, 4.0332, 3.8841, 5.60983, 9.06127", \ + "5.98639, 5.51564, 4.62443, 7.04078, 3.90614, 5.63186, 9.08331", \ + "6.05395, 5.58319, 4.69198, 7.10833, 3.97369, 5.69942, 9.15087", \ + "7.31445, 5.8122, 4.921, 4.45312, 4.20271, 5.92843, 10.498", \ + "11.1141, 10.6433, 9.75213, 8.17098, 5.03634, 6.76206, 14.211", \ + "14.2838, 13.813, 12.9218, 11.3407, 8.20605, 9.93177, 13.3832", \ + "30.6305, 30.1597, 25.271, 20.8106, 16.5578, 14.286, 17.7374" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.12598, 1.45072, 0.163619, -1.12549, -1.72606, -0.864225, 8.85445", \ + "2.7425, 2.06724, 0.780139, -1.54046, -1.10954, -0.247705, 9.47097", \ + "3.95287, 3.27762, 1.99051, -0.330088, 0.100831, 0.96267, 6.68385", \ + "7.31445, 5.60771, 4.3206, 4, 2.43092, -0.704742, 6.46968", \ + "10.5805, 9.90524, 8.61814, 6.29753, 6.72845, 3.59279, 5.31647", \ + "17.725, 17.0497, 15.7626, 13.442, 9.87545, 6.73978, 8.46346", \ + "30.2092, 29.5339, 28.2468, 23.0469, 18.3621, 15.2265, 12.9527" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0593983, -0.0600614, -0.0611332, -0.0612047, -0.062227, -0.0620017, -0.062025" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0744933, 0.0746271, 0.0750491, 0.0745245, 0.0752823, 0.074796, 0.0748321" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113658, 0.111744, 0.111896, 0.111425, 0.111682, 0.110399, 0.109286" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0975288, -0.097325, -0.0983953, -0.097324, -0.0975021, -0.0975584, -0.097068" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.19378, 0.201502, 0.234914, 0.328117, 0.547847, 1.0173, 1.97455" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.366256, 0.377396, 0.417399, 0.523208, 0.763611, 1.26538, 2.2769" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.400793, 0.40791, 0.44102, 0.534845, 0.754017, 1.22359, 2.18028" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.160534, 0.171026, 0.211838, 0.3174, 0.557716, 1.05904, 2.07144" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFHQx4_ASAP7_75t_SL) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 29250.1; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30657.7; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31441.7; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28117.4; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31431.1; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31743.2; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34498.1; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30419.7; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30944.9; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.1909, 34.1685, 35.9328, 39.1806, 45.4145, 57.6771, 82.1715", \ + "34.0198, 35.0046, 36.7619, 40.0043, 46.2363, 58.5135, 83.0088", \ + "35.2718, 36.2557, 38.0135, 41.2632, 47.4942, 59.7685, 84.2534", \ + "36.893, 37.873, 39.6363, 42.8774, 49.1197, 61.3832, 85.8738", \ + "38.8339, 39.8038, 41.5802, 44.8213, 51.0634, 63.3234, 87.8423", \ + "40.7898, 41.7751, 43.5362, 46.7801, 53.013, 65.2935, 89.7903", \ + "41.6355, 42.6193, 44.3815, 47.628, 53.8665, 66.1348, 90.6341" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.67579, 8.11696, 11.2511, 17.8665, 31.7974, 60.5253, 118.779", \ + "6.67377, 8.12028, 11.2495, 17.8578, 31.791, 60.5273, 118.781", \ + "6.66718, 8.11315, 11.2442, 17.8619, 31.7935, 60.5253, 118.784", \ + "6.66919, 8.11158, 11.2477, 17.8715, 31.7946, 60.5283, 118.781", \ + "6.65165, 8.08673, 11.2336, 17.9346, 31.8545, 60.5407, 118.815", \ + "6.6636, 8.1088, 11.2527, 17.8577, 31.8146, 60.864, 119.024", \ + "6.7079, 8.1483, 11.2825, 17.9242, 31.8182, 60.6434, 119.036" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "34.3601, 35.3704, 37.1215, 40.2289, 45.946, 57.006, 79.0103", \ + "35.1697, 36.1809, 37.9297, 41.0396, 46.7567, 57.8099, 79.8226", \ + "36.3317, 37.3421, 39.0893, 42.2013, 47.9186, 58.977, 80.9835", \ + "37.9126, 38.9219, 40.6661, 43.7787, 49.4952, 60.5519, 82.5608", \ + "39.863, 40.8689, 42.6248, 45.728, 51.4395, 62.4997, 84.5308", \ + "41.7313, 42.7418, 44.492, 47.6029, 53.3129, 64.3721, 86.3824", \ + "42.3382, 43.3187, 45.0379, 48.1805, 53.8764, 64.9313, 86.9371" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.38779, 7.62134, 10.2737, 15.8707, 27.6197, 52.0037, 101.7", \ + "6.3813, 7.61908, 10.2674, 15.8683, 27.6257, 51.9924, 101.699", \ + "6.38205, 7.6121, 10.2639, 15.8675, 27.6184, 51.9997, 101.708", \ + "6.38592, 7.61828, 10.2724, 15.8722, 27.6219, 52.0051, 101.7", \ + "6.37995, 7.61509, 10.2722, 15.9418, 27.8656, 52.0011, 101.713", \ + "6.4022, 7.6312, 10.3213, 15.8834, 27.6349, 52.1409, 102.397", \ + "6.433, 7.6645, 10.3219, 15.9623, 27.6661, 52.0296, 102.297" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.17343, 2.11577, 2.06124, 2.02188, 2.00058, 1.99044, 1.98658", \ + "2.17951, 2.12194, 2.06747, 2.028, 2.00635, 1.99775, 1.99276", \ + "2.20423, 2.14632, 2.09235, 2.05298, 2.03181, 2.02229, 2.01791", \ + "2.27395, 2.21571, 2.16126, 2.12026, 2.10083, 2.08961, 2.08481", \ + "2.43945, 2.38427, 2.32826, 2.29912, 2.27426, 2.26021, 2.25942", \ + "2.82129, 2.76278, 2.70836, 2.66919, 2.65284, 2.66876, 2.66084", \ + "3.62008, 3.56191, 3.50419, 3.46784, 3.44292, 3.44771, 3.46809" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.19475, 2.13388, 2.07712, 2.04197, 2.02461, 2.01603, 2.0114", \ + "2.20176, 2.14106, 2.08442, 2.0496, 2.03236, 2.02406, 2.01962", \ + "2.22863, 2.16783, 2.11118, 2.07639, 2.0592, 2.05089, 2.04669", \ + "2.30291, 2.24237, 2.18578, 2.15038, 2.1329, 2.12432, 2.11993", \ + "2.47824, 2.4157, 2.3599, 2.32374, 2.3057, 2.29605, 2.29589", \ + "2.85673, 2.79488, 2.73848, 2.70162, 2.68345, 2.67766, 2.67107", \ + "3.63339, 3.57271, 3.51592, 3.48004, 3.46139, 3.45354, 3.45198" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.32588, 2.26823, 2.2137, 2.17436, 2.15308, 2.14302, 2.13919", \ + "2.33117, 2.27361, 2.21915, 2.17973, 2.15812, 2.14957, 2.1447", \ + "2.35495, 2.297, 2.24297, 2.20357, 2.18241, 2.17291, 2.16865", \ + "2.42404, 2.36618, 2.31189, 2.27319, 2.25151, 2.24269, 2.23806", \ + "2.59061, 2.53626, 2.47864, 2.44148, 2.41911, 2.41012, 2.40738", \ + "2.97147, 2.9127, 2.85819, 2.81728, 2.79556, 2.78738, 2.78231", \ + "3.77069, 3.71171, 3.65436, 3.61433, 3.58906, 3.57856, 3.57506" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.35417, 2.29331, 2.23654, 2.20139, 2.18397, 2.17542, 2.17053", \ + "2.36119, 2.3005, 2.24384, 2.20902, 2.19174, 2.18331, 2.17871", \ + "2.38692, 2.32613, 2.26947, 2.2347, 2.21746, 2.20903, 2.20467", \ + "2.46064, 2.39988, 2.34238, 2.30739, 2.28982, 2.28114, 2.27662", \ + "2.6369, 2.57381, 2.51983, 2.49491, 2.49128, 2.45178, 2.45614", \ + "3.01541, 2.95414, 2.90071, 2.86072, 2.85236, 2.88378, 2.94201", \ + "3.79184, 3.7313, 3.67379, 3.6469, 3.62203, 3.62517, 3.67036" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.557238; + rise_capacitance : 0.556673; + rise_capacitance_range (0.465314, 0.556673); + fall_capacitance : 0.557238; + fall_capacitance_range (0.459631, 0.557238); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.76562, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1832, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.335322, 0.342232, 0.370123, 0.440515, 0.605714, 0.968783, 1.71824" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.506923, 0.516228, 0.549612, 0.633316, 0.819347, 1.21156, 2.00617" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.486387, 0.493403, 0.521041, 0.591273, 0.75654, 1.12001, 1.86925" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.358348, 0.367281, 0.40128, 0.484957, 0.670975, 1.06278, 1.85744" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.716362, 0.726463, 0.767464, 0.867442, 1.08324, 1.52494, 2.39874" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.571884, 0.582218, 0.623935, 0.72331, 0.939317, 1.38068, 2.25435" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.800018, 0.8092, 0.84115, 0.925341, 1.1116, 1.50038, 2.28178" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.621391, 0.630166, 0.662991, 0.747379, 0.933255, 1.32169, 2.10394" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.328097, 0.335111, 0.363379, 0.432685, 0.60057, 0.966033, 1.71229" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.519261, 0.528793, 0.56158, 0.64717, 0.832918, 1.22273, 2.01592" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.48666, 0.494221, 0.522361, 0.591416, 0.759719, 1.12478, 1.87069" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355833, 0.365161, 0.398608, 0.483999, 0.670116, 1.05946, 1.85294" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692626; + rise_capacitance : 0.692626; + rise_capacitance_range (0.634992, 0.692626); + fall_capacitance : 0.69175; + fall_capacitance_range (0.619432, 0.69175); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.57904, 2.57556, 2.56073, -0.329589, 2.25207, -2.74292, -2.74932", \ + "2.50887, 2.50539, 2.49056, 2.42953, 2.1819, -2.81309, -2.81949", \ + "2.38203, 2.37854, 2.36372, 2.30268, 2.05506, -2.93993, -2.94634", \ + "-0.588379, 2.17883, 2.164, -0.625, 1.85534, -3.13965, -6.02538", \ + "-1.13508, -1.13857, -1.15339, -1.21443, -1.46205, -2.45954, -6.46344", \ + "2.49538, 2.49189, 2.47707, 2.41603, -1.8291, -2.82658, -6.83049", \ + "2.94555, 2.94206, 6.92474, 4.0625, 2.61858, -2.37641, -6.38031" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.56147, 10.0352, 10.9396, 9.80469, 12.3891, 12.0167, 11.2717", \ + "10.0696, 10.5434, 11.4477, 13.0835, 12.8973, 12.5248, 11.7798", \ + "7.07639, 11.5477, 12.452, 14.0878, 13.9016, 13.5291, 12.7841", \ + "10.3027, 9.51065, 10.415, 13.3594, 15.862, 15.4896, 11.8652", \ + "12.7655, 13.2393, 14.1436, 15.7794, 15.5931, 15.2207, 14.4757", \ + "19.4533, 19.9271, 20.8315, 22.4673, 22.281, 21.9085, 17.1661", \ + "29.7517, 30.2255, 31.1298, 34.7656, 32.5794, 28.2094, 23.4669" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.96436, 5.4936, 4.60239, 4.0332, 3.8841, 5.60983, 9.06127", \ + "5.98959, 5.51884, 4.62763, 3.04648, 3.90934, 5.63507, 9.08651", \ + "6.06035, 5.58959, 4.69838, 3.11723, 3.9801, 5.70582, 9.15727", \ + "7.31445, 5.8122, 4.921, 4.45312, 4.20271, 5.92843, 10.498", \ + "11.0501, 10.5793, 9.68812, 8.10697, 4.97233, 6.69805, 14.147", \ + "13.887, 13.4162, 12.525, 10.9438, 7.8092, 9.53492, 12.9864", \ + "24.7512, 24.2804, 19.3917, 19.0469, 14.6759, 12.4041, 15.8556" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.12598, 1.45361, 0.169396, -1.12549, -1.96868, -1.59208, 7.15613", \ + "2.744, 2.07163, 0.787416, -1.53896, -1.35066, -4.97156, 7.77415", \ + "3.95587, 3.28351, 1.99929, -0.327089, -0.138787, -3.75968, 8.98603", \ + "7.31445, 5.61059, 4.32638, 4, 2.1883, -1.43259, 4.52874", \ + "10.5505, 9.87813, 8.59392, 6.26754, 2.45834, 2.83495, 3.58815", \ + "17.539, 16.8667, 15.5824, 13.2561, 9.44686, 5.82597, 6.57918", \ + "29.3273, 28.655, 27.3708, 23.0469, 17.2377, 13.6168, 10.3725" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0562326, -0.0568734, -0.0579545, -0.0581218, -0.0590443, -0.058907, -0.0588511" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0781839, 0.0781327, 0.0782424, 0.0778995, 0.0783473, 0.0780184, 0.0780231" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.116786, 0.114433, 0.115042, 0.11456, 0.114742, 0.113381, 0.112428" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.094798, -0.0944069, -0.0951585, -0.0942316, -0.0948175, -0.0944763, -0.0938393" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197622, 0.205363, 0.238803, 0.331978, 0.551702, 1.02127, 1.97841" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369436, 0.380368, 0.420361, 0.525906, 0.767067, 1.2683, 2.27993" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.404503, 0.411637, 0.444777, 0.53857, 0.758053, 1.22749, 2.18388" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.163871, 0.174143, 0.214929, 0.3207, 0.561046, 1.0621, 2.07456" \ + ); + } + } + } + ff (IQ,IQN) { + clocked_on : "CLK"; + next_state : "D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx1_ASAP7_75t_SL) { + area : 0.2916; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 13550.5; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16639.5; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16614.3; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15319.5; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 11370.1; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15558; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13561.7; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13017.7; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14453.9; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.3603, 26.5899, 30.496, 37.455, 49.9988, 74.0363, 121.811", \ + "25.4326, 27.6601, 31.5671, 38.5259, 51.0693, 75.1076, 122.885", \ + "27.5078, 29.7329, 33.6339, 40.5899, 53.1317, 77.1698, 124.946", \ + "30.9542, 33.1646, 37.0664, 44.0272, 56.5627, 80.6027, 128.372", \ + "36.4631, 38.6782, 42.5703, 49.5219, 62.0503, 86.1319, 133.894", \ + "45.1534, 47.3677, 51.2557, 58.209, 70.7589, 94.7975, 142.665", \ + "58.7544, 60.9855, 64.888, 71.8459, 84.3828, 108.418, 156.236" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.5265, 14.8501, 21.414, 34.456, 60.9906, 115.17, 225.311", \ + "11.5235, 14.848, 21.4103, 34.4603, 60.9919, 115.176, 225.294", \ + "11.5249, 14.8521, 21.4214, 34.4655, 60.993, 115.176, 225.311", \ + "11.6212, 14.9156, 21.475, 34.5194, 61.0244, 115.189, 225.297", \ + "11.7329, 15.0517, 21.5919, 34.7092, 61.0679, 115.263, 225.353", \ + "12.1172, 15.4086, 21.9094, 34.9381, 61.5498, 115.412, 225.492", \ + "12.9584, 16.2076, 22.6039, 35.4366, 61.6858, 116.142, 225.934" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.4312, 26.7624, 30.8218, 37.6515, 49.5332, 71.6795, 115.159", \ + "25.5193, 27.8506, 31.9104, 38.7378, 50.6215, 72.7682, 116.247", \ + "27.6071, 29.9397, 33.9987, 40.8286, 52.71, 74.8647, 118.337", \ + "30.9148, 33.245, 37.2965, 44.1162, 55.9999, 78.1445, 121.624", \ + "36.3838, 38.6975, 42.7416, 49.5589, 61.4103, 83.5617, 127.045", \ + "44.9234, 47.2387, 51.271, 58.0668, 69.9044, 92.025, 135.536", \ + "58.029, 60.2502, 64.2594, 71.0062, 82.7944, 104.878, 148.314" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.9975, 14.1339, 20.0062, 31.4841, 54.307, 100.642, 195.31", \ + "10.9946, 14.1312, 19.9978, 31.4825, 54.3065, 100.642, 195.31", \ + "10.9796, 14.1142, 19.9903, 31.4729, 54.3019, 100.653, 195.309", \ + "11.0034, 14.1221, 19.9907, 31.47, 54.3045, 100.637, 195.309", \ + "11.0322, 14.1507, 20.0919, 31.5082, 54.2972, 100.632, 195.302", \ + "11.3788, 14.4546, 20.2313, 31.6549, 54.4136, 100.988, 195.339", \ + "11.9132, 14.9061, 20.5599, 31.7939, 54.4085, 100.659, 198.441" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.0021, 0.974836, 0.947828, 0.927066, 0.913468, 0.904175, 0.89789", \ + "1.01099, 0.983465, 0.956404, 0.936001, 0.922284, 0.912938, 0.906892", \ + "1.04247, 1.01506, 0.987264, 0.966842, 0.953196, 0.943749, 0.937766", \ + "1.12963, 1.10091, 1.07297, 1.05173, 1.03749, 1.02793, 1.02185", \ + "1.32822, 1.2993, 1.26877, 1.24897, 1.23053, 1.22341, 1.21491", \ + "1.7495, 1.71943, 1.68589, 1.66532, 1.65376, 1.63445, 1.63156", \ + "2.61393, 2.58208, 2.54551, 2.51248, 2.49039, 2.50297, 2.47555" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.03917, 1.01001, 0.977678, 0.952992, 0.937412, 0.927263, 0.920715", \ + "1.04808, 1.01891, 0.986578, 0.961854, 0.946273, 0.936059, 0.929623", \ + "1.08011, 1.0504, 1.01825, 0.993695, 0.978195, 0.96816, 0.961731", \ + "1.16454, 1.13513, 1.10286, 1.07797, 1.06237, 1.05228, 1.04579", \ + "1.35723, 1.32727, 1.29394, 1.26815, 1.25237, 1.24394, 1.23605", \ + "1.76783, 1.73697, 1.7025, 1.6748, 1.6564, 1.64568, 1.63927", \ + "2.59839, 2.5673, 2.52712, 2.4972, 2.47645, 2.46479, 2.45842" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.835638, 0.808376, 0.781391, 0.760631, 0.747084, 0.737822, 0.731512", \ + "0.844114, 0.816543, 0.789442, 0.769021, 0.755302, 0.745985, 0.739973", \ + "0.876617, 0.849277, 0.821521, 0.801108, 0.787472, 0.778036, 0.772087", \ + "0.964645, 0.935816, 0.907963, 0.887308, 0.872502, 0.863019, 0.856911", \ + "1.16229, 1.13321, 1.10356, 1.08122, 1.06616, 1.05617, 1.05061", \ + "1.58262, 1.55244, 1.51951, 1.49453, 1.47751, 1.46567, 1.45962", \ + "2.44843, 2.41556, 2.3786, 2.34648, 2.32357, 2.30864, 2.29938" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.86402, 0.834857, 0.802544, 0.777829, 0.762257, 0.752096, 0.745492", \ + "0.873045, 0.843897, 0.811596, 0.786903, 0.771328, 0.761098, 0.754604", \ + "0.905835, 0.876774, 0.844573, 0.819954, 0.804388, 0.794323, 0.787785", \ + "0.990919, 0.961537, 0.92892, 0.904001, 0.888568, 0.878461, 0.871912", \ + "1.18309, 1.15329, 1.12221, 1.09556, 1.07795, 1.0665, 1.06108", \ + "1.59391, 1.56246, 1.52819, 1.50507, 1.48444, 1.48484, 1.46849", \ + "2.42367, 2.39308, 2.35279, 2.32314, 2.30329, 2.30244, 2.38884" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.562234; + rise_capacitance : 0.561137; + rise_capacitance_range (0.464047, 0.561137); + fall_capacitance : 0.562234; + fall_capacitance_range (0.451291, 0.562234); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.549536, 0.55757, 0.585862, 0.659945, 0.830582, 1.1944, 1.93539" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.731636, 0.739674, 0.767122, 0.841327, 1.01193, 1.37586, 2.11693" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337781, 0.345788, 0.373574, 0.445471, 0.613549, 0.978058, 1.72794" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.495956, 0.504598, 0.536795, 0.619985, 0.80407, 1.1938, 1.98841" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515085, 0.522806, 0.550066, 0.62228, 0.790015, 1.1548, 1.90462" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320491, 0.329719, 0.362717, 0.445318, 0.629021, 1.01912, 1.81411" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355119, 0.363415, 0.392187, 0.462157, 0.631658, 0.996601, 1.74835" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491798, 0.500602, 0.532264, 0.616217, 0.79932, 1.19152, 1.98711" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517571, 0.525791, 0.554132, 0.624254, 0.793797, 1.15871, 1.91083" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.324933, 0.333526, 0.366231, 0.449468, 0.63325, 1.02459, 1.82046" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611133, 0.620617, 0.654534, 0.739158, 0.935796, 1.35114, 2.18688" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.757076, 0.766339, 0.799498, 0.884541, 1.08161, 1.49676, 2.33261" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692747; + rise_capacitance : 0.692747; + rise_capacitance_range (0.632411, 0.692747); + fall_capacitance : 0.691292; + fall_capacitance_range (0.618546, 0.691292); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.4292, 8.16606, 9.61563, 13.5278, 17.6371, 26.53, 38.136", \ + "7.65216, 8.38902, 9.83859, 12.6412, 17.8601, 26.753, 38.359", \ + "8.1156, 8.85245, 10.302, 13.1046, 18.3235, 27.2164, 38.8224", \ + "10.2612, 9.8494, 15.2965, 15.3125, 23.318, 28.2134, 40.9375", \ + "11.3867, 16.1211, 17.5707, 20.3733, 25.5922, 34.4851, 46.091", \ + "17.0563, 21.7907, 23.2402, 26.0428, 31.2617, 40.1546, 51.7606", \ + "32.8801, 33.617, 35.0666, 39.2031, 47.0856, 51.981, 63.5869" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.45209, 3.08402, 0.31676, 3.7915, 6.75724, 12.8352, 20.3854", \ + "1.96035, 2.59228, 3.82252, 2.15109, 6.2655, 12.3435, 19.8937", \ + "0.982255, 1.61418, 2.84443, 1.17299, 5.28741, 11.3654, 18.9156", \ + "-3.78174, -0.320526, 0.909719, 0.46875, 3.3527, 5.43321, 14.1016", \ + "-4.7359, -4.10398, -6.87123, -4.54517, -0.43075, 1.64976, 9.19995", \ + "-11.9589, -11.327, -10.0968, -11.7682, -7.65379, -5.57329, -2.02059", \ + "-25.0296, -24.3977, -23.1674, -23.6621, -20.7245, -18.6439, -15.0912" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.721478, -0.454617, 1.24966, -6.08643, -10.8585, -18.6019, -26.9872", \ + "1.02542, -0.150678, -2.4439, -2.79695, -10.5545, -18.298, -30.6807", \ + "1.59676, 0.420664, -1.87256, -2.22561, -9.98317, -17.7266, -30.1094", \ + "3.77024, 1.41721, -0.876009, -4, -8.98663, -16.7301, -31.9922", \ + "5.66112, 4.48502, 2.1918, -2.15875, -9.91631, -17.6598, -34.04", \ + "3.80174, 2.62565, 0.33243, -4.01812, -11.7757, -23.5167, -35.8994", \ + "0.0829982, -1.0931, -3.38632, -10.7344, -19.4919, -31.2329, -47.6132" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.1528, 2.67833, 0.937499, -0.934698, -3.78782, -14.1809", \ + "5.40255, 4.64324, 3.16877, 4.39395, -0.444258, -3.29738, -13.6905", \ + "6.38354, 5.62423, 4.14976, 5.37493, 0.536731, -2.31639, -12.7095", \ + "9.37744, 7.58664, 6.11217, 4.45312, 2.49914, -0.35398, -9.62891", \ + "16.27, 15.5107, 14.0362, 11.2639, 6.42569, 3.57257, -2.82303", \ + "24.13, 23.3707, 21.8962, 19.1239, 14.2857, 11.4326, 5.03697", \ + "39.8776, 39.1183, 37.6439, 31.9922, 30.0333, 27.1802, 20.7846" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.190515, 0.198318, 0.230849, 0.323995, 0.543835, 1.01348, 1.97051" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369138, 0.379457, 0.419557, 0.52575, 0.765696, 1.267, 2.27849" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.397395, 0.404332, 0.43666, 0.530513, 0.750024, 1.21969, 2.17609" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.161796, 0.173299, 0.214032, 0.319657, 0.560223, 1.0609, 2.07326" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0582389, -0.0591671, -0.0597209, -0.0606241, -0.0606072, -0.0608067, -0.0608799" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0710299, 0.070608, 0.0709247, 0.0707796, 0.070844, 0.0707672, 0.0707249" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113573, 0.112881, 0.111021, 0.111565, 0.111189, 0.110221, 0.109118" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100911, -0.0999209, -0.101165, -0.100403, -0.100318, -0.100586, -0.0998307" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx2_ASAP7_75t_SL) { + area : 0.30618; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 16385.9; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20404.8; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19453; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19081.3; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14205.3; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19319.5; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16396.8; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16779.2; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17753.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "29.8948, 32.3207, 36.5157, 43.8363, 56.8813, 81.458, 129.842", \ + "31.0489, 33.464, 37.6517, 44.9785, 58.0246, 82.601, 130.984", \ + "33.0042, 35.4283, 39.6221, 46.9418, 59.9868, 84.563, 132.948", \ + "36.415, 38.8358, 43.0293, 50.336, 63.3824, 87.9538, 136.33", \ + "41.8683, 44.2875, 48.4674, 55.7733, 68.8268, 93.3845, 141.765", \ + "50.5488, 52.9689, 57.1515, 64.4532, 77.499, 102.09, 150.513", \ + "64.2324, 66.6504, 70.8366, 78.1458, 91.1669, 115.822, 164.176" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.4705, 18.6041, 24.8996, 38.0318, 64.9035, 120.008, 232.504", \ + "15.4674, 18.595, 24.896, 38.0313, 64.9029, 120.007, 232.509", \ + "15.4439, 18.5829, 24.8831, 38.0202, 64.8957, 120.003, 232.509", \ + "15.4678, 18.5983, 24.9241, 38.0346, 64.9231, 120.013, 232.505", \ + "15.4944, 18.6387, 25.0544, 38.0884, 64.9538, 120.031, 232.514", \ + "15.767, 18.8972, 25.1845, 38.268, 65.0944, 120.323, 232.641", \ + "16.4696, 19.5642, 25.7908, 38.9266, 65.4815, 120.527, 234.302" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "29.0265, 31.5922, 36.0161, 43.3343, 55.8575, 78.6682, 122.559", \ + "30.1769, 32.7539, 37.158, 44.4842, 57.0061, 79.8157, 123.706", \ + "32.1546, 34.7166, 39.1411, 46.4627, 58.9831, 81.7941, 125.685", \ + "35.4367, 37.9998, 42.4131, 49.7316, 62.2386, 85.0364, 128.952", \ + "40.83, 43.3884, 47.8064, 55.1252, 67.6362, 90.4058, 134.33", \ + "49.4286, 51.9829, 56.4016, 63.7193, 76.2257, 98.9868, 142.872", \ + "62.9168, 65.4703, 69.9074, 77.2186, 89.6766, 112.389, 156.232" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.016, 17.9769, 23.6442, 35.0534, 58.0983, 104.769, 200.293", \ + "15.0141, 17.9716, 23.641, 35.0665, 58.0975, 104.769, 200.293", \ + "14.9839, 17.9436, 23.6143, 35.0439, 58.0876, 104.74, 200.291", \ + "14.955, 17.9316, 23.6068, 35.0481, 58.09, 104.749, 200.29", \ + "14.9186, 18.0049, 23.5869, 35.0936, 58.075, 104.728, 200.292", \ + "15.1784, 18.1477, 23.8021, 35.3502, 58.2238, 104.838, 200.263", \ + "15.9908, 18.8922, 24.4694, 35.6335, 58.4751, 105.234, 201.289" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.4955, 1.4099, 1.30374, 1.21399, 1.15241, 1.11132, 1.08456", \ + "1.50407, 1.41808, 1.31262, 1.2224, 1.16131, 1.12018, 1.09352", \ + "1.53312, 1.44729, 1.34133, 1.25126, 1.19002, 1.14934, 1.12261", \ + "1.61714, 1.53123, 1.42431, 1.33421, 1.27068, 1.22988, 1.2028", \ + "1.81221, 1.72415, 1.61933, 1.52499, 1.46405, 1.41834, 1.39135", \ + "2.23242, 2.14353, 2.03539, 1.9424, 1.8765, 1.8433, 1.80256", \ + "3.10297, 3.01213, 2.89477, 2.79282, 2.7107, 2.68536, 2.74967" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.60072, 1.51175, 1.39632, 1.28996, 1.21955, 1.17548, 1.14782", \ + "1.61104, 1.52124, 1.40613, 1.29947, 1.22938, 1.18542, 1.15771", \ + "1.64097, 1.55135, 1.43618, 1.33002, 1.25985, 1.21604, 1.18845", \ + "1.72241, 1.63429, 1.51805, 1.41327, 1.34215, 1.29853, 1.27082", \ + "1.91267, 1.82198, 1.70603, 1.59972, 1.52933, 1.48644, 1.45932", \ + "2.32608, 2.23655, 2.11723, 2.00762, 1.93391, 1.88925, 1.86013", \ + "3.18322, 3.08829, 2.96399, 2.83941, 2.76041, 2.70968, 2.67817" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.32888, 1.2433, 1.13713, 1.04742, 0.985897, 0.944824, 0.91815", \ + "1.33798, 1.25187, 1.14615, 1.05609, 0.995003, 0.953891, 0.927307", \ + "1.36706, 1.28124, 1.17528, 1.08538, 1.02403, 0.983363, 0.956693", \ + "1.45279, 1.36634, 1.26027, 1.16907, 1.10823, 1.06641, 1.03959", \ + "1.64583, 1.55846, 1.45062, 1.35963, 1.2962, 1.25496, 1.22751", \ + "2.06613, 1.9766, 1.86725, 1.7703, 1.70314, 1.65946, 1.63086", \ + "2.93664, 2.84552, 2.72878, 2.62145, 2.54753, 2.49745, 2.46458" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.4261, 1.33712, 1.2217, 1.11531, 1.04488, 1.00078, 0.972882", \ + "1.43647, 1.34693, 1.23166, 1.12497, 1.05487, 1.01089, 0.983022", \ + "1.4667, 1.37713, 1.26202, 1.15591, 1.08573, 1.04193, 1.01416", \ + "1.54891, 1.45978, 1.34433, 1.23756, 1.16757, 1.12374, 1.09642", \ + "1.73837, 1.65053, 1.53321, 1.43188, 1.35545, 1.30919, 1.2793", \ + "2.15176, 2.06318, 1.94323, 1.84991, 1.76918, 1.71545, 1.68058", \ + "3.00831, 2.91372, 2.78954, 2.66705, 2.59044, 2.57306, 2.55623" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.562227; + rise_capacitance : 0.561393; + rise_capacitance_range (0.463935, 0.561393); + fall_capacitance : 0.562227; + fall_capacitance_range (0.45165, 0.562227); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.550386, 0.55851, 0.586942, 0.660643, 0.831414, 1.19519, 1.93626" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.73264, 0.7406, 0.768192, 0.842056, 1.01234, 1.37669, 2.11783" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338912, 0.346647, 0.374345, 0.446137, 0.613342, 0.978586, 1.72841" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497115, 0.505349, 0.537436, 0.620558, 0.804556, 1.1943, 1.98942" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516152, 0.523688, 0.550871, 0.622967, 0.790082, 1.15535, 1.90512" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32214, 0.330443, 0.363498, 0.445864, 0.629479, 1.01958, 1.81488" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.356177, 0.364391, 0.393082, 0.463013, 0.632411, 0.997915, 1.74901" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49308, 0.501624, 0.533135, 0.617138, 0.800329, 1.19226, 1.98793" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.51869, 0.526789, 0.555054, 0.624885, 0.794493, 1.16024, 1.91149" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326338, 0.334536, 0.36715, 0.450375, 0.634413, 1.02533, 1.82128" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611726, 0.621189, 0.654808, 0.739066, 0.935282, 1.35199, 2.18994" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.75779, 0.76698, 0.799801, 0.884074, 1.08048, 1.49763, 2.3357" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692852; + rise_capacitance : 0.692852; + rise_capacitance_range (0.632473, 0.692852); + fall_capacitance : 0.691379; + fall_capacitance_range (0.618631, 0.691379); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.63861, 9.83457, 12.1562, 13.689, 20.1202, 26.8227, 38.2224", \ + "9.12107, 10.317, 12.6386, 13.0032, 20.6026, 27.3051, 38.7048", \ + "10.0833, 11.2792, 13.6008, 13.9654, 21.5648, 28.2673, 39.667", \ + "9.22607, 13.1927, 15.5143, 17.1484, 23.4783, 30.1808, 38.7012", \ + "15.7803, 16.9763, 19.2979, 19.6625, 27.2619, 33.9644, 45.3641", \ + "19.1762, 20.3722, 22.6938, 27.0559, 30.6578, 41.3578, 52.7575", \ + "33.2678, 34.4638, 36.7854, 42.3437, 48.7469, 55.4494, 66.8491" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.62787, 3.00494, 3.75154, 2.44385, 8.01977, 13.1471, 21.4694", \ + "2.31592, 2.69299, 3.43959, 4.90259, 7.70782, 12.8352, 21.1575", \ + "1.68222, 2.0593, 2.80589, 4.2689, 7.07412, 8.20397, 20.5238", \ + "-2.35596, -3.24475, -2.49816, 0.273438, 1.77007, 6.89742, 16.3379", \ + "-6.39158, -6.0145, -5.26791, -3.80491, -0.999681, 4.12767, 8.45248", \ + "-12.5577, -12.1806, -11.434, -9.971, -11.1633, -6.03592, -1.71112", \ + "-23.3987, -23.0217, -22.2751, -23.5938, -22.0043, -20.8745, -16.5497" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.93284, 2.03621, 0.277618, -6.08643, -9.30318, -19.4889, -30.9847", \ + "3.23667, 2.34004, 0.581443, -2.79706, -8.99936, -19.185, -30.6809", \ + "3.8079, 2.91127, 1.15267, -2.22584, -8.42813, -18.6138, -30.1096", \ + "2.55184, 3.90804, 2.14945, -4, -7.43135, -17.617, -31.9922", \ + "3.88453, 2.9879, 1.2293, -2.1492, -8.3515, -18.5372, -34.0305", \ + "2.04424, 1.14761, -0.610981, -3.98949, -10.1918, -24.375, -35.8708", \ + "-1.63632, -2.53296, -4.29155, -10.6007, -17.8698, -32.053, -47.5464" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.1528, 2.67833, 0.937499, -0.934698, -3.78782, -14.1809", \ + "5.39725, 4.63794, 3.16347, 4.38864, -0.449561, -3.30268, -13.6958", \ + "6.37293, 5.61362, 4.13915, 5.36433, 0.526125, -2.327, -12.7201", \ + "9.37744, 7.58664, 6.11217, 4.45312, 2.49914, -0.35398, -9.62891", \ + "16.3761, 15.6167, 14.1423, 11.3699, 6.53175, 3.67863, -2.71697", \ + "24.7876, 24.0283, 22.5538, 19.7815, 14.9433, 12.0901, 5.69454", \ + "38.9983, 38.239, 36.7645, 35.9922, 33.1515, 26.3009, 23.9028" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.191279, 0.199297, 0.231609, 0.32486, 0.544583, 1.0127, 1.97124" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369999, 0.380287, 0.420396, 0.526594, 0.766113, 1.26785, 2.27932" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.398187, 0.40502, 0.43745, 0.531404, 0.750824, 1.21881, 2.17685" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.16263, 0.17411, 0.214845, 0.320495, 0.56002, 1.06172, 2.07406" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0575289, -0.0584564, -0.0591165, -0.0599151, -0.0598222, -0.0601032, -0.0601718" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0718084, 0.0713812, 0.0717124, 0.0717695, 0.0719282, 0.0714791, 0.0715016" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.1143, 0.113604, 0.112584, 0.112276, 0.112028, 0.11095, 0.109839" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100152, -0.0993764, -0.10041, -0.0997602, -0.0995585, -0.099597, -0.0990715" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQNx3_ASAP7_75t_SL) { + area : 0.32076; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 19221.4; + when : "(CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24170; + when : "(CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22291.6; + when : "(CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22843.2; + when : "(CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17040.4; + when : "(!CLK * D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23081.1; + when : "(!CLK * D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19232; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20540.8; + when : "(!CLK * !D * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21052.6; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "34.8385, 36.6959, 39.869, 45.4177, 54.9436, 72.203, 105.039", \ + "35.9863, 37.8425, 41.0168, 46.5578, 56.0851, 73.3634, 106.177", \ + "37.8897, 39.7451, 42.9207, 48.4681, 57.9925, 75.2728, 108.08", \ + "41.279, 43.1386, 46.292, 51.8533, 61.3556, 78.6359, 111.448", \ + "46.6147, 48.4643, 51.6404, 57.1783, 66.7157, 83.9585, 116.786", \ + "55.2322, 57.0877, 60.2561, 65.7933, 75.3067, 92.561, 125.629", \ + "68.8798, 70.7364, 73.9051, 79.4541, 88.9644, 106.229, 139.064" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.2264, 21.0771, 24.9732, 33.187, 50.739, 86.9536, 161.331", \ + "19.2216, 21.0718, 24.9686, 33.1976, 50.7366, 86.9405, 161.328", \ + "19.1873, 21.0397, 24.9482, 33.1631, 50.705, 86.9458, 161.317", \ + "19.1749, 21.0344, 24.9204, 33.2021, 50.7133, 86.9687, 161.339", \ + "19.1177, 20.9777, 24.9021, 33.2002, 50.7318, 86.9564, 161.33", \ + "19.2873, 21.1546, 25.0878, 33.3201, 51.1179, 87.5175, 161.687", \ + "19.8919, 21.7262, 25.6161, 33.8102, 51.3889, 87.5713, 161.701" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.359, 35.2767, 38.6447, 44.3853, 53.86, 70.3639, 100.681", \ + "34.4935, 36.4114, 39.7796, 45.5348, 55.0067, 71.4788, 101.826", \ + "36.4488, 38.3673, 41.7366, 47.4785, 56.9526, 73.4824, 103.778", \ + "39.6744, 41.5736, 44.9519, 50.6884, 60.1562, 76.6426, 106.976", \ + "44.9782, 46.8838, 50.2452, 55.9915, 65.4776, 81.9574, 112.28", \ + "53.4386, 55.3616, 58.7355, 64.4903, 73.9669, 90.4605, 120.774", \ + "67.0325, 68.9707, 72.2986, 78.0996, 87.6121, 104.052, 134.336" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.0142, 20.7002, 24.2891, 31.5678, 46.646, 77.4426, 140.233", \ + "19.0102, 20.6951, 24.2856, 31.5662, 46.6444, 77.4378, 140.236", \ + "18.9781, 20.6627, 24.2612, 31.5437, 46.6288, 77.47, 140.217", \ + "18.9351, 20.6046, 24.2494, 31.516, 46.6174, 77.4497, 140.222", \ + "18.8449, 20.5426, 24.3269, 31.4909, 46.6444, 77.4152, 140.178", \ + "18.9112, 20.6315, 24.2703, 31.6957, 46.6861, 77.6387, 140.222", \ + "19.7083, 21.4151, 25.0348, 32.2758, 47.2318, 78.1378, 140.46" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.31734, 2.20326, 2.01997, 1.79407, 1.60421, 1.47409, 1.38718", \ + "2.32579, 2.21157, 2.02831, 1.80135, 1.61293, 1.48296, 1.39627", \ + "2.35208, 2.23808, 2.05548, 1.82947, 1.64007, 1.51069, 1.42445", \ + "2.43296, 2.31909, 2.13523, 1.90814, 1.71824, 1.58421, 1.49435", \ + "2.61663, 2.5028, 2.31936, 2.09877, 1.90433, 1.76911, 1.68084", \ + "3.033, 2.91733, 2.73439, 2.5052, 2.32431, 2.21258, 2.12783", \ + "3.91128, 3.79461, 3.60169, 3.3665, 3.17392, 3.03755, 2.92779" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.46749, 2.34566, 2.15313, 1.90744, 1.68315, 1.53508, 1.44444", \ + "2.47678, 2.35457, 2.16236, 1.91711, 1.69235, 1.54435, 1.4534", \ + "2.50517, 2.3832, 2.19128, 1.94571, 1.7218, 1.5747, 1.48378", \ + "2.58576, 2.46223, 2.27241, 2.02826, 1.80414, 1.65715, 1.5667", \ + "2.76778, 2.64535, 2.45323, 2.20945, 1.98918, 1.83866, 1.75229", \ + "3.17318, 3.05262, 2.86195, 2.61473, 2.38715, 2.23727, 2.14554", \ + "4.04602, 3.92332, 3.7298, 3.47052, 3.23045, 3.0694, 2.96964" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.15092, 2.03686, 1.85353, 1.62766, 1.43779, 1.30776, 1.22104", \ + "2.15936, 2.04513, 1.86184, 1.63473, 1.44624, 1.31632, 1.22977", \ + "2.18575, 2.07176, 1.88914, 1.66306, 1.4736, 1.34414, 1.25802", \ + "2.26765, 2.15437, 1.96968, 1.74681, 1.55409, 1.42714, 1.34057", \ + "2.45047, 2.33602, 2.15379, 1.92721, 1.7392, 1.60942, 1.52286", \ + "2.86629, 2.7522, 2.5691, 2.3384, 2.14201, 2.00877, 1.92215", \ + "3.74507, 3.62783, 3.43616, 3.20137, 2.99259, 2.8476, 2.7508" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.29272, 2.17089, 1.97838, 1.73269, 1.50835, 1.36021, 1.26953", \ + "2.30217, 2.17999, 1.98781, 1.7427, 1.5179, 1.37004, 1.27884", \ + "2.33089, 2.20898, 2.01712, 1.77162, 1.54775, 1.40077, 1.3096", \ + "2.41051, 2.28782, 2.09602, 1.85044, 1.62548, 1.47638, 1.38469", \ + "2.59274, 2.47206, 2.2872, 2.03653, 1.80972, 1.66422, 1.55341", \ + "2.99824, 2.87847, 2.6907, 2.45351, 2.21929, 2.08297, 1.97112", \ + "3.8715, 3.74827, 3.55571, 3.30076, 3.05014, 2.90706, 2.79558" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.562532; + rise_capacitance : 0.561427; + rise_capacitance_range (0.464214, 0.561427); + fall_capacitance : 0.562532; + fall_capacitance_range (0.451522, 0.562532); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.2124, 30.2124, 32.959, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.551092, 0.559452, 0.58758, 0.661213, 0.831957, 1.19574, 1.93685" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.733467, 0.741422, 0.768922, 0.842719, 1.01289, 1.37733, 2.11851" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.339586, 0.347386, 0.375061, 0.446788, 0.614688, 0.978749, 1.72885" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497996, 0.506282, 0.538335, 0.621956, 0.805318, 1.19506, 1.99005" \ + ); + } + } + internal_power () { + when : "(D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516853, 0.524526, 0.551684, 0.623708, 0.791765, 1.1556, 1.90566" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322761, 0.331285, 0.36443, 0.447189, 0.630145, 1.02024, 1.81544" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.357151, 0.365454, 0.393963, 0.464247, 0.633108, 0.998152, 1.74965" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49444, 0.502816, 0.534505, 0.618267, 0.801433, 1.19324, 1.98897" \ + ); + } + } + internal_power () { + when : "(!D * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.519801, 0.527711, 0.556028, 0.626384, 0.79527, 1.16039, 1.91222" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32752, 0.335649, 0.36839, 0.451421, 0.635297, 1.02623, 1.82224" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.612081, 0.621546, 0.655192, 0.73923, 0.93631, 1.35255, 2.19119" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.758547, 0.767395, 0.800267, 0.884556, 1.08221, 1.49831, 2.33699" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692811; + rise_capacitance : 0.692811; + rise_capacitance_range (0.632401, 0.692811); + fall_capacitance : 0.691248; + fall_capacitance_range (0.61862, 0.691248); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.52729, 10.6527, 12.84, 14.209, 20.185, 26.5606, 39.0158", \ + "9.88249, 11.0079, 13.1952, 13.3178, 20.5402, 26.9158, 39.371", \ + "10.6041, 11.7295, 13.9168, 14.0394, 21.2618, 27.6374, 40.0926", \ + "9.37988, 13.2174, 15.4047, 16.875, 22.7497, 29.1253, 38.7012", \ + "15.2468, 16.3722, 18.5595, 22.6796, 25.9045, 32.2801, 44.7353", \ + "22.2722, 23.3977, 25.585, 29.7051, 32.93, 39.3056, 51.7608", \ + "35.1892, 36.3147, 42.4995, 43.8574, 49.8444, 56.2201, 64.6777" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.17334, 0.014333, 4.39813, 2.5415, 7.02261, 11.3398, 22.778", \ + "-0.694339, -0.506666, 3.87713, 4.69354, 6.50161, 10.8188, 22.257", \ + "-1.72402, 2.46115, 2.84745, 3.66386, 5.47193, 9.78907, 21.2273", \ + "-2.39014, 0.451042, 0.83734, -0.9375, 3.46182, 7.77896, 16.3379", \ + "-7.55731, -3.37213, -2.98584, -2.16943, -0.361356, 3.95578, 11.3966", \ + "-10.418, -10.2303, -9.84403, -9.02762, -7.21955, -6.89991, 0.540862", \ + "-20.9818, -20.7941, -20.4078, -22.3242, -21.7808, -21.4612, -18.0179" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.13405, 2.20593, 0.387116, -6.08643, -9.47838, -19.8393, -30.9847", \ + "3.437, 2.50889, 0.690069, -2.79794, -9.17543, -19.5363, -30.6817", \ + "4.00736, 3.07924, 1.26043, -2.22758, -8.60507, -18.966, -30.1114", \ + "2.95425, 4.07776, 2.25894, -4, -7.60655, -17.9674, -31.9922", \ + "4.15898, 3.23087, 1.41205, -2.07596, -8.45345, -18.8143, -33.9572", \ + "2.46519, 1.53708, -0.28174, -3.76975, -10.1472, -20.5081, -35.651", \ + "-0.922386, -1.8505, -3.66932, -9.57528, -17.5323, -31.8907, -47.0336" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.1528, 2.67833, 0.937499, -0.934698, -3.78782, -14.1809", \ + "5.39855, 4.63923, 3.16477, 4.38994, -0.448262, -3.30138, -13.6945", \ + "6.37553, 5.61622, 4.14175, 5.36693, 0.528722, -2.3244, -12.7175", \ + "9.37744, 11.5841, 6.11217, 4.45312, 2.49914, -0.35398, -9.62891", \ + "16.3501, 15.5908, 14.1163, 11.344, 6.50577, 3.65265, -2.74295", \ + "24.6265, 23.8672, 22.3927, 19.6204, 14.7822, 11.9291, 5.5335", \ + "38.2346, 37.4753, 36.0008, 34.4649, 32.3878, 29.5347, 23.1391" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.191897, 0.19965, 0.232237, 0.325455, 0.545255, 1.0141, 1.9719" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.370571, 0.380864, 0.420992, 0.526538, 0.766318, 1.26847, 2.27993" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.398771, 0.405651, 0.438, 0.531932, 0.751538, 1.22029, 2.1775" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.163232, 0.174718, 0.21547, 0.320989, 0.560707, 1.06237, 2.0747" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0567867, -0.0577111, -0.0583923, -0.0592408, -0.058798, -0.0594156, -0.0594074" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.072549, 0.0723631, 0.0724533, 0.072509, 0.0719304, 0.0723343, 0.0722411" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11504, 0.11434, 0.113549, 0.113427, 0.111784, 0.111872, 0.110561" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0994123, -0.0990447, -0.099671, -0.0990222, -0.0981634, -0.0991251, -0.0983343" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "!D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DFFLQx4_ASAP7_75t_SL) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 31431; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31743.1; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34498; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30419.6; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29250.3; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30657.8; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31441.8; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28117.5; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30944.9; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "35.7608, 37.5244, 40.7714, 47.0081, 59.2809, 83.7652, 132.758", \ + "36.846, 38.6031, 41.8498, 48.0837, 60.351, 84.852, 133.833", \ + "38.8867, 40.6465, 43.8935, 50.1276, 62.393, 86.8955, 135.877", \ + "42.1671, 43.9234, 47.1699, 53.408, 65.6806, 90.166, 139.157", \ + "47.5612, 49.3185, 52.5553, 58.789, 71.0865, 95.5992, 144.565", \ + "56.1711, 57.932, 61.1805, 67.4169, 79.6805, 104.211, 153.215", \ + "69.7008, 71.4669, 74.7209, 80.9673, 93.2298, 117.72, 166.718" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "8.09118, 11.2289, 17.8489, 31.7841, 60.5212, 118.785, 235.921", \ + "8.09658, 11.2281, 17.8479, 31.7848, 60.5228, 118.787, 235.921", \ + "8.08929, 11.2249, 17.8456, 31.7835, 60.5207, 118.786, 235.922", \ + "8.08606, 11.2195, 17.8416, 31.7799, 60.5188, 118.784, 235.921", \ + "8.08175, 11.2138, 17.8307, 31.8527, 60.5274, 118.81, 235.932", \ + "8.1089, 11.2447, 17.8636, 31.9149, 60.656, 118.985, 235.964", \ + "8.2403, 11.3653, 17.9651, 31.9404, 60.5851, 118.813, 237.5" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "35.5399, 37.2925, 40.4047, 46.1233, 57.1763, 79.1903, 123.199", \ + "36.6138, 38.3659, 41.4788, 47.1956, 58.2527, 80.2614, 124.263", \ + "38.6488, 40.4038, 43.5142, 49.2316, 60.2933, 82.2954, 126.298", \ + "42.0681, 43.8224, 46.932, 52.6488, 63.7066, 85.7159, 129.728", \ + "47.5223, 49.2716, 52.3774, 58.0903, 69.1542, 91.188, 135.171", \ + "56.2167, 57.9699, 61.0857, 66.8062, 77.8654, 99.8752, 143.915", \ + "69.9357, 71.6969, 74.8202, 80.5457, 91.6094, 113.652, 157.631" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "7.65096, 10.3012, 15.8976, 27.6364, 52.0019, 101.688, 201.846", \ + "7.65149, 10.3036, 15.8978, 27.6351, 52.0052, 101.702, 201.858", \ + "7.64697, 10.3033, 15.896, 27.6344, 52.0126, 101.702, 201.857", \ + "7.65753, 10.3102, 15.9001, 27.6357, 52.0182, 101.694, 201.831", \ + "7.68076, 10.3041, 15.9991, 27.736, 52.002, 101.721, 201.849", \ + "7.6947, 10.3439, 15.9365, 27.6633, 52.2328, 101.786, 201.874", \ + "7.8035, 10.4416, 16.0228, 27.7753, 52.0647, 101.884, 202.115" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.31307, 2.2591, 2.22023, 2.19941, 2.1903, 2.18608, 2.18382", \ + "2.32139, 2.26777, 2.22894, 2.20797, 2.19865, 2.19466, 2.19225", \ + "2.35172, 2.29805, 2.25935, 2.23845, 2.22917, 2.22537, 2.22344", \ + "2.43317, 2.37936, 2.34079, 2.32032, 2.31122, 2.30729, 2.30517", \ + "2.6235, 2.56961, 2.52921, 2.52262, 2.5023, 2.50623, 2.49733", \ + "3.03694, 2.98409, 2.94658, 2.95095, 2.92833, 2.94998, 2.91983", \ + "3.91077, 3.85161, 3.81109, 3.79598, 3.79501, 3.79274, 4.02178" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.29167, 2.23408, 2.19823, 2.18038, 2.17168, 2.16686, 2.16432", \ + "2.30005, 2.24257, 2.2068, 2.18886, 2.17996, 2.17526, 2.17286", \ + "2.32886, 2.27119, 2.23551, 2.21776, 2.20896, 2.20438, 2.2017", \ + "2.41457, 2.35672, 2.32075, 2.3028, 2.29391, 2.28934, 2.28671", \ + "2.60908, 2.5512, 2.51576, 2.49591, 2.48784, 2.4852, 2.48073", \ + "3.03225, 2.97324, 2.9364, 2.91529, 2.90669, 2.9009, 2.8984", \ + "3.91284, 3.85097, 3.81178, 3.78987, 3.77893, 3.77431, 3.77126" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.1379, 2.08392, 2.04506, 2.02421, 2.01527, 2.01112, 2.00907", \ + "2.14601, 2.09238, 2.05358, 2.03265, 2.02338, 2.0195, 2.01731", \ + "2.17714, 2.12347, 2.08479, 2.06394, 2.05471, 2.05103, 2.04929", \ + "2.25926, 2.20553, 2.16705, 2.14657, 2.13758, 2.13375, 2.13179", \ + "2.44856, 2.39354, 2.35428, 2.33554, 2.32471, 2.32481, 2.32366", \ + "2.8623, 2.80877, 2.7702, 2.74825, 2.73754, 2.73396, 2.73146", \ + "3.73594, 3.67707, 3.63538, 3.61157, 3.59827, 3.59395, 3.59324" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.12495, 2.06735, 2.03152, 2.01361, 2.0048, 1.9998, 1.99696", \ + "2.13291, 2.0754, 2.03963, 2.02163, 2.01261, 2.00779, 2.00506", \ + "2.16242, 2.10474, 2.06908, 2.05128, 2.04254, 2.03764, 2.03464", \ + "2.24835, 2.1904, 2.15441, 2.13644, 2.12749, 2.12281, 2.11993", \ + "2.44497, 2.38591, 2.36459, 2.34422, 2.32084, 2.32201, 2.31124", \ + "2.8657, 2.80679, 2.77417, 2.75521, 2.77961, 2.74938, 2.74473", \ + "3.74612, 3.68426, 3.64927, 3.62763, 3.63893, 3.65266, 3.62857" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.563092; + rise_capacitance : 0.562334; + rise_capacitance_range (0.464462, 0.562334); + fall_capacitance : 0.563092; + fall_capacitance_range (0.4522, 0.563092); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342732, 0.350453, 0.378137, 0.449947, 0.61759, 0.982365, 1.73213" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.501312, 0.509385, 0.541515, 0.624582, 0.808604, 1.19833, 1.99343" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.520208, 0.527756, 0.554919, 0.627031, 0.795248, 1.15938, 1.9091" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.326195, 0.334226, 0.367337, 0.449633, 0.633271, 1.02335, 1.81863" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.553314, 0.561427, 0.589877, 0.663508, 0.834177, 1.19801, 1.93903" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.73581, 0.743681, 0.771374, 0.845169, 1.01626, 1.37976, 2.12085" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.615514, 0.624813, 0.658543, 0.742791, 0.939146, 1.35566, 2.19364" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.761847, 0.770808, 0.803809, 0.888323, 1.08514, 1.50157, 2.33967" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.359111, 0.367517, 0.396127, 0.465803, 0.635258, 1.00045, 1.75177" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.496258, 0.504695, 0.535891, 0.620146, 0.803319, 1.19525, 1.99095" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.521895, 0.529927, 0.558375, 0.628197, 0.797666, 1.16293, 1.91453" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.329412, 0.337332, 0.369779, 0.453107, 0.637121, 1.02805, 1.82402" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.692621; + rise_capacitance : 0.692621; + rise_capacitance_range (0.632534, 0.692621); + fall_capacitance : 0.691438; + fall_capacitance_range (0.618695, 0.691438); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.88764, 10.0002, 12.1631, 13.4302, 19.4006, 25.7372, 38.4714", \ + "9.3311, 10.4437, 12.6065, 12.6859, 19.8441, 26.1807, 38.9149", \ + "10.2187, 11.3313, 13.4942, 13.5735, 20.7317, 27.0683, 39.8025", \ + "9.22607, 13.1093, 15.2722, 16.6406, 22.5097, 28.8463, 38.7012", \ + "11.5664, 16.6765, 18.8394, 18.9187, 26.0769, 32.4135, 45.1477", \ + "18.7452, 19.8578, 22.0207, 26.0975, 33.2557, 39.5923, 52.3265", \ + "33.2805, 34.3931, 36.5559, 42.6328, 47.791, 54.1276, 62.8643" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.4502, 1.65737, 2.0806, 4.20899, 8.86654, 13.2485, 20.2918", \ + "0.694539, 0.901709, 1.32494, 2.20699, 8.11088, 12.4929, 19.5361", \ + "-0.785693, -0.578522, -0.155287, 0.726759, 6.63065, 11.0126, 18.0559", \ + "-2.35596, -3.41466, 1.00608, -0.78125, 3.79452, 8.17649, 16.3379", \ + "-4.79928, -4.59211, -4.16887, -3.28683, -1.38044, 3.00154, 10.0448", \ + "-13.1599, -12.9527, -12.5295, -11.6475, -9.74107, -5.3591, -2.31336", \ + "-21.9241, -21.717, -21.2937, -23.1836, -22.5028, -22.1183, -15.0751" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.32453, 1.52688, -0.0458327, -6.08643, -8.84955, -18.9011, -33.221", \ + "2.62846, 1.83082, 0.258106, -6.79445, -12.5431, -18.5972, -32.9171", \ + "3.19981, 2.40216, 0.829448, -6.22311, -11.9718, -18.0258, -32.3457", \ + "1.33521, 3.3987, -2.17151, -4, -10.9752, -21.0268, -34.2285", \ + "3.26667, 2.46902, 0.896307, -6.15625, -11.9049, -21.9565, -32.2789", \ + "1.40729, 0.609643, -0.963066, -4.01812, -13.7643, -23.8158, -38.1357", \ + "-2.31145, -3.1091, -4.68181, -10.7344, -17.483, -31.5321, -49.8495" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.91211, 4.1528, 2.67833, 0.937499, -0.934698, -3.78782, -14.1809", \ + "5.39725, 4.63794, 3.16347, 4.38864, -0.449561, -3.30268, -13.6958", \ + "6.37293, 5.61362, 4.13915, 5.36433, 0.526125, -2.327, -12.7201", \ + "9.37744, 7.58664, 6.11217, 4.45312, 2.49914, -0.35398, -9.62891", \ + "16.3761, 15.6167, 14.1423, 11.3699, 6.53175, 3.67863, -2.71697", \ + "24.7876, 24.0283, 22.5538, 19.7815, 14.9433, 12.0901, 5.69454", \ + "38.9983, 38.239, 36.7645, 35.9922, 33.1515, 26.3009, 23.9028" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.195203, 0.202975, 0.235547, 0.328813, 0.548549, 1.01749, 1.9751" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373753, 0.383655, 0.424144, 0.529683, 0.770239, 1.27161, 2.28314" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402111, 0.408977, 0.441368, 0.535361, 0.754815, 1.22369, 2.1806" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.166383, 0.177732, 0.218599, 0.324146, 0.564827, 1.06548, 2.07785" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0536169, -0.0545404, -0.0551935, -0.0559948, -0.0558586, -0.0561807, -0.0562407" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0757415, 0.0756747, 0.0756416, 0.0753338, 0.0756173, 0.0753919, 0.0754333" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.118238, 0.117545, 0.116552, 0.116228, 0.115711, 0.114905, 0.113789" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0962431, -0.0956077, -0.0964935, -0.0956129, -0.095599, -0.0958482, -0.0951647" \ + ); + } + } + } + ff (IQ,IQN) { + clocked_on : "!CLK"; + next_state : "D"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx1_ASAP7_75t_SL) { + area : 0.2187; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 12296.1; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12248.9; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 10944.5; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13168.9; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13139.5; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 10624.9; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12070.5; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "18.5958, 20.4396, 23.824, 30.0937, 42.1871, 66.2245, 114.262", \ + "19.4437, 21.29, 24.6725, 30.9437, 43.0369, 67.0732, 115.115", \ + "20.5772, 22.4174, 25.7949, 32.0619, 44.1508, 68.1862, 116.223", \ + "22.0908, 23.9345, 27.3142, 33.5785, 45.6624, 69.7017, 117.737", \ + "23.843, 25.6808, 29.057, 35.3191, 47.4089, 71.4634, 119.498", \ + "25.1562, 26.9903, 30.3568, 36.617, 48.7019, 72.7428, 120.814", \ + "24.5593, 26.3587, 29.7126, 35.9884, 48.1042, 72.0876, 120.101" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.43439, 10.7924, 17.4396, 30.9524, 58.5241, 114.294, 226.516", \ + "7.43259, 10.7932, 17.4377, 30.9521, 58.5237, 114.294, 226.517", \ + "7.43534, 10.7781, 17.4376, 30.9511, 58.5094, 114.294, 226.516", \ + "7.42333, 10.7798, 17.5021, 30.94, 58.5163, 114.289, 226.516", \ + "7.36619, 10.7245, 17.389, 31.0939, 58.6095, 114.271, 226.529", \ + "7.3219, 10.6822, 17.3414, 30.8788, 58.524, 114.653, 226.563", \ + "7.296, 10.6568, 17.3628, 30.9351, 58.4646, 114.326, 228.715" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "16.7154, 18.6235, 21.9212, 27.8136, 38.8244, 60.4901, 103.776", \ + "17.4879, 19.395, 22.6932, 28.5873, 39.5975, 61.2643, 104.551", \ + "18.653, 20.5533, 23.8639, 29.7583, 40.7634, 62.4289, 105.714", \ + "20.082, 21.9871, 25.2864, 31.1863, 42.1978, 63.868, 107.146", \ + "21.7482, 23.6593, 26.9748, 32.9012, 43.9297, 65.6024, 108.913", \ + "22.9518, 24.8616, 28.1999, 34.1157, 45.1802, 66.8965, 110.215", \ + "21.8226, 23.838, 27.2249, 33.2141, 44.2735, 65.9278, 109.184" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.37012, 10.2165, 15.8378, 27.0977, 50.1301, 97.0157, 191.77", \ + "7.3768, 10.2207, 15.8414, 27.1021, 50.1228, 97.0162, 191.769", \ + "7.45972, 10.3207, 15.905, 27.1443, 50.1538, 97.0255, 191.771", \ + "7.58439, 10.4134, 16.0603, 27.2197, 50.1966, 97.0523, 191.776", \ + "7.88692, 10.693, 16.2414, 27.404, 50.531, 97.0802, 191.823", \ + "8.62118, 11.337, 16.7739, 27.9718, 50.9073, 98.1575, 192.013", \ + "10.2271, 12.8018, 18.0217, 28.7165, 51.2475, 97.9189, 192.816" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4372, 13.3055, 16.7088, 22.9819, 35.0697, 59.1016, 107.134", \ + "11.717, 13.5718, 16.9575, 23.2123, 35.2849, 59.3064, 107.334", \ + "12.1651, 14.0428, 17.4502, 23.7305, 35.8104, 59.8288, 107.859", \ + "12.931, 14.8111, 18.3111, 24.6065, 36.7212, 60.7135, 108.729", \ + "13.7727, 15.7767, 19.2562, 25.6815, 37.9364, 61.948, 109.957", \ + "13.6549, 15.8869, 19.6908, 26.4711, 39.078, 63.4918, 111.62", \ + "11.0113, 13.6012, 17.9036, 25.1842, 38.4336, 63.9694, 113.426" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.48949, 10.8271, 17.4571, 30.9668, 58.5177, 114.287, 226.512", \ + "7.58704, 10.9143, 17.5167, 31.0065, 58.5411, 114.297, 226.513", \ + "7.90308, 11.2064, 17.7551, 31.1518, 58.6212, 114.328, 226.517", \ + "8.67367, 11.9581, 18.3323, 31.6834, 58.8995, 114.462, 226.574", \ + "10.364, 13.5562, 20.0304, 33.0021, 59.9175, 115.031, 226.81", \ + "13.5932, 16.7093, 23.076, 35.9635, 63.0482, 117.714, 228.626", \ + "19.2404, 22.3638, 28.5851, 41.5153, 68.2065, 123.05, 232.968" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "12.42, 14.3286, 17.6532, 23.5214, 34.5188, 56.1885, 99.4702", \ + "13.026, 14.9239, 18.2328, 24.0972, 35.089, 56.748, 100.03", \ + "14.2791, 16.2002, 19.5207, 25.3944, 36.3922, 58.0388, 101.325", \ + "16.8599, 18.7966, 22.1783, 28.0853, 39.1041, 60.7585, 104.03", \ + "21.3333, 23.4951, 26.9962, 33.1503, 44.2335, 65.8915, 109.169", \ + "28.6793, 31.0807, 35.0406, 41.653, 53.3009, 75.3241, 118.624", \ + "40.7305, 43.4986, 48.1338, 55.4817, 68.1353, 91.4418, 135.882" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.50611, 10.3422, 15.9122, 27.1342, 50.1231, 96.9932, 191.749", \ + "7.57918, 10.3992, 15.9708, 27.1663, 50.1375, 96.9977, 191.739", \ + "7.98763, 10.7701, 16.2363, 27.3684, 50.243, 97.0364, 191.76", \ + "8.8826, 11.6115, 17.0389, 27.8925, 50.5972, 97.1966, 191.816", \ + "11.0532, 13.6377, 18.8945, 29.4546, 51.9993, 97.7589, 192.054", \ + "14.7165, 17.4032, 22.5908, 33.0165, 54.707, 100.511, 193.157", \ + "21.033, 23.8383, 29, 39.5638, 61.5219, 105.903, 199.612" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.64517, 0.638775, 0.634719, 0.633137, 0.632876, 0.633205, 0.633473", \ + "0.655038, 0.648396, 0.644726, 0.642934, 0.642721, 0.643096, 0.643368", \ + "0.685004, 0.678821, 0.674049, 0.672361, 0.672042, 0.67239, 0.672527", \ + "0.764436, 0.758002, 0.757616, 0.751549, 0.75156, 0.751874, 0.752126", \ + "0.941379, 0.935487, 0.932256, 0.937104, 0.93432, 0.931068, 0.931377", \ + "1.30975, 1.30424, 1.30049, 1.29957, 1.2999, 1.30793, 1.30493", \ + "2.0605, 2.05468, 2.0522, 2.04982, 2.05315, 2.05672, 2.09973" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.721401, 0.712037, 0.705676, 0.701827, 0.699165, 0.697354, 0.696226", \ + "0.731378, 0.722, 0.715472, 0.711515, 0.709008, 0.7073, 0.706226", \ + "0.766286, 0.757063, 0.749165, 0.745052, 0.742814, 0.740922, 0.739855", \ + "0.85518, 0.842923, 0.834801, 0.829847, 0.827051, 0.825847, 0.824725", \ + "1.05455, 1.04114, 1.03104, 1.02438, 1.0206, 1.01821, 1.01683", \ + "1.4829, 1.46617, 1.45115, 1.44161, 1.43527, 1.43278, 1.42928", \ + "2.35298, 2.32893, 2.3065, 2.28866, 2.27628, 2.27048, 2.26537" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.825615, 0.819207, 0.815115, 0.813492, 0.813214, 0.813522, 0.813832", \ + "0.835038, 0.828392, 0.824694, 0.822874, 0.822648, 0.82303, 0.823331", \ + "0.865135, 0.858661, 0.855207, 0.853382, 0.853213, 0.85365, 0.853882", \ + "0.943464, 0.936438, 0.933311, 0.930993, 0.931367, 0.932137, 0.932716", \ + "1.12017, 1.11395, 1.1107, 1.10912, 1.1081, 1.10879, 1.1093", \ + "1.48995, 1.48408, 1.47939, 1.47857, 1.47756, 1.47832, 1.47884", \ + "2.24071, 2.23485, 2.23027, 2.22741, 2.22715, 2.22698, 2.22812" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.866663, 0.857309, 0.850924, 0.847041, 0.844358, 0.842478, 0.841225", \ + "0.876112, 0.866805, 0.86034, 0.856404, 0.853887, 0.852157, 0.850984", \ + "0.909372, 0.899727, 0.892695, 0.888546, 0.885345, 0.883349, 0.882161", \ + "0.998542, 0.986219, 0.978681, 0.971857, 0.969013, 0.966594, 0.964947", \ + "1.19902, 1.18482, 1.17571, 1.1705, 1.17233, 1.16186, 1.16247", \ + "1.62752, 1.61026, 1.59609, 1.58989, 1.58717, 1.60209, 1.58597", \ + "2.49754, 2.47369, 2.4507, 2.43497, 2.42827, 2.43688, 2.43954" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.389071, 0.382993, 0.380014, 0.378333, 0.377789, 0.37728, 0.377287", \ + "0.397578, 0.390178, 0.385183, 0.381825, 0.380474, 0.37954, 0.379009", \ + "0.43032, 0.42266, 0.414743, 0.41135, 0.409734, 0.408481, 0.408382", \ + "0.534377, 0.521746, 0.508067, 0.504451, 0.495439, 0.490805, 0.488856", \ + "0.79015, 0.769082, 0.750652, 0.72815, 0.715609, 0.70706, 0.701355", \ + "1.34973, 1.31671, 1.28157, 1.24637, 1.22966, 1.20764, 1.20714", \ + "2.48222, 2.44049, 2.38668, 2.3213, 2.25723, 2.23136, 2.19209" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.582247, 0.571941, 0.564641, 0.559859, 0.557014, 0.555087, 0.553949", \ + "0.59142, 0.580179, 0.572778, 0.568039, 0.564958, 0.562965, 0.561694", \ + "0.63274, 0.619859, 0.609993, 0.603208, 0.59813, 0.596207, 0.595008", \ + "0.752248, 0.733236, 0.717439, 0.70638, 0.699372, 0.694859, 0.692084", \ + "1.03738, 1.00884, 0.982075, 0.959542, 0.944419, 0.932765, 0.926588", \ + "1.63838, 1.59875, 1.55374, 1.51023, 1.47513, 1.45092, 1.43526", \ + "2.84154, 2.79377, 2.72546, 2.65281, 2.5818, 2.52546, 2.48618" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.594185, 0.588149, 0.585146, 0.583464, 0.582853, 0.582394, 0.582327", \ + "0.602808, 0.596924, 0.59263, 0.590811, 0.589934, 0.589333, 0.588925", \ + "0.634736, 0.626112, 0.619764, 0.616401, 0.614882, 0.614422, 0.613423", \ + "0.738814, 0.726129, 0.712053, 0.705164, 0.700166, 0.698003, 0.696507", \ + "0.994997, 0.972798, 0.952858, 0.933432, 0.919814, 0.912803, 0.907772", \ + "1.55514, 1.52119, 1.48575, 1.44807, 1.41734, 1.39437, 1.37912", \ + "2.68694, 2.64597, 2.59166, 2.5258, 2.46452, 2.41094, 2.37058" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.377452, 0.367165, 0.359939, 0.355231, 0.352419, 0.350409, 0.349267", \ + "0.386845, 0.375727, 0.366538, 0.361657, 0.35838, 0.35625, 0.354901", \ + "0.428898, 0.415397, 0.402907, 0.395276, 0.39324, 0.388736, 0.386286", \ + "0.547988, 0.52874, 0.515129, 0.499446, 0.492129, 0.486857, 0.484396", \ + "0.832294, 0.805506, 0.775758, 0.755987, 0.749348, 0.725376, 0.720603", \ + "1.43212, 1.39279, 1.34718, 1.30377, 1.26927, 1.26383, 1.22718", \ + "2.63739, 2.58871, 2.5202, 2.44653, 2.38535, 2.32061, 2.34222" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556567; + rise_capacitance : 0.556064; + rise_capacitance_range (0.467651, 0.556064); + fall_capacitance : 0.556567; + fall_capacitance_range (0.458595, 0.556567); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.244888, 0.254275, 0.283575, 0.359515, 0.532599, 0.903861, 1.65554" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.411677, 0.422323, 0.457038, 0.542731, 0.733427, 1.12921, 1.92349" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.421192, 0.42974, 0.458349, 0.534469, 0.708468, 1.07946, 1.83084" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.23919, 0.249146, 0.284883, 0.369968, 0.560443, 0.955995, 1.75101" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.272661, 0.282222, 0.312109, 0.3895, 0.564677, 0.937574, 1.69287" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.40074, 0.41074, 0.445085, 0.530768, 0.721144, 1.11698, 1.91445" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.433772, 0.443092, 0.472597, 0.550483, 0.724829, 1.09821, 1.85383" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.23489, 0.245192, 0.280666, 0.365745, 0.556189, 0.951669, 1.74902" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.68796; + rise_capacitance : 0.68796; + rise_capacitance_range (0.562111, 0.68796); + fall_capacitance : 0.685002; + fall_capacitance_range (0.567023, 0.685002); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.89936, 6.76753, 8.46771, 8.77686, 13.6582, 23.2073, 33.0432", \ + "5.90895, 6.77712, 8.4773, 11.7329, 13.6678, 23.2169, 33.0528", \ + "1.93063, 6.79631, 8.49648, 11.7521, 13.687, 23.2361, 33.072", \ + "3.00049, 2.83717, 8.53484, 8.90625, 13.7253, 23.2744, 34.2285", \ + "2.53782, 7.4035, 9.10367, 12.3593, 18.2917, 23.8433, 37.6767", \ + "5.25021, 10.1159, 11.8161, 15.0717, 21.004, 30.5532, 40.3891", \ + "12.9764, 17.842, 19.5422, 23.916, 32.7277, 42.2768, 52.1127" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.18262, 1.93244, 2.17572, -0.231933, 3.86446, 6.72685, 14.5456", \ + "-2.47358, -2.35602, 1.88476, 2.40405, 3.5735, 6.43589, 14.2546", \ + "-3.05559, -2.93803, 1.30275, 1.82204, 2.99149, 5.85388, 13.6726", \ + "-3.18848, -4.10242, -3.85913, -2.22656, 1.82711, 4.6895, 9.62891", \ + "-6.5502, -6.43265, -6.18936, -5.67007, -4.50062, -1.63823, 6.18052", \ + "-11.2165, -11.099, -10.8557, -10.3364, -9.16693, -6.30454, -2.48329", \ + "-16.575, -16.4575, -16.2142, -18.5742, -18.5229, -15.6605, -15.8368" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0024414, -1.16782, -3.43655, -6.67481, -11.3143, -14.5206, -25.0385", \ + "0.341913, -0.823468, -3.09219, -3.38398, -10.9699, -18.1737, -28.6916", \ + "0.994757, -0.170624, -2.43935, -2.73113, -10.3171, -17.5209, -28.0388", \ + "3.18848, 0.991602, -1.27712, -4.45312, -9.15484, -16.3586, -29.7559", \ + "5.6097, 4.44432, 2.1756, -2.11369, -9.69962, -16.9034, -27.4213", \ + "4.52014, 3.35476, 1.08603, -3.20325, -10.7892, -17.993, -32.5084", \ + "-1.65649, -2.82187, -5.09059, -8.26171, -16.9658, -24.1696, -38.685" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.05957, 5.6506, 4.86792, 4.51416, 7.41316, 7.35727, 11.243", \ + "6.69703, 6.28806, 5.50538, 8.07856, 8.05061, 7.99473, 11.8804", \ + "7.95582, 7.54685, 6.76417, 5.33985, 5.31191, 9.25352, 9.14174", \ + "11.4404, 9.99997, 9.21729, 8.90625, 7.76502, 7.70913, 8.64303", \ + "15.0573, 14.6483, 13.8656, 12.4413, 12.4134, 12.3575, 16.2432", \ + "23.3224, 22.9134, 22.1307, 20.7064, 20.6785, 20.6226, 24.5083", \ + "39.7238, 39.3149, 38.5322, 34.2285, 33.0824, 33.0265, 36.9122" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0584507, -0.0594055, -0.0600363, -0.0608696, -0.0607633, -0.0609875, -0.0610361" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0710319, 0.0711312, 0.0708933, 0.0711866, 0.0711536, 0.0707868, 0.0707" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112097, 0.111422, 0.11052, 0.110448, 0.109697, 0.10868, 0.107558" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0996451, -0.0997897, -0.0998322, -0.0997712, -0.0995717, -0.0993188, -0.0985317" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx2_ASAP7_75t_SL) { + area : 0.23328; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 16058; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15084.6; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14707.3; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16010; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16905.7; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13462.1; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15371.3; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "20.8685, 22.8132, 26.3308, 32.7778, 45.0385, 69.2869, 117.754", \ + "21.7285, 23.6653, 27.185, 33.6406, 45.8984, 70.1392, 118.606", \ + "22.8431, 24.7852, 28.3054, 34.746, 47.0049, 71.2537, 119.725", \ + "24.3439, 26.2884, 29.8037, 36.2437, 48.5032, 72.749, 121.217", \ + "26.0862, 28.0247, 31.4639, 37.9363, 50.1738, 74.4363, 122.924", \ + "27.4023, 29.3562, 32.8635, 39.2968, 51.5436, 75.8769, 124.22", \ + "26.8683, 28.7936, 32.303, 38.7247, 50.975, 75.2088, 123.733" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.39822, 11.7107, 18.3983, 32.0064, 59.9029, 116.576, 230.895", \ + "8.39651, 11.7084, 18.3992, 32.004, 59.903, 116.576, 230.895", \ + "8.382, 11.7033, 18.3924, 32.0031, 59.902, 116.576, 230.898", \ + "8.37168, 11.7109, 18.3861, 31.9956, 59.9005, 116.577, 230.893", \ + "8.32854, 11.6442, 18.4163, 32.0052, 60.1244, 116.588, 230.938", \ + "8.2824, 11.5973, 18.2956, 32.1472, 59.9384, 116.954, 230.94", \ + "8.2397, 11.5657, 18.2559, 31.9874, 59.863, 117.096, 233.046" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.2471, 21.2906, 24.78, 30.9227, 42.1678, 64.026, 107.625", \ + "20.0137, 22.0567, 25.5741, 31.7174, 42.9629, 64.8208, 108.42", \ + "21.2122, 23.2519, 26.7357, 32.8763, 44.118, 65.9725, 109.571", \ + "22.6803, 24.7202, 28.208, 34.3498, 45.5926, 67.4536, 111.047", \ + "24.4229, 26.4698, 29.9619, 36.1175, 47.3728, 69.439, 112.822", \ + "25.8561, 27.9089, 31.417, 37.5946, 48.8565, 70.7354, 114.5", \ + "25.4284, 27.4422, 30.9897, 37.2933, 48.5469, 70.4163, 113.996" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.75444, 11.5158, 17.1594, 28.545, 51.8693, 99.5594, 196.329", \ + "8.75627, 11.5177, 17.1593, 28.5468, 51.8701, 99.5597, 196.329", \ + "8.83252, 11.5999, 17.2208, 28.5826, 51.8907, 99.5681, 196.331", \ + "8.93929, 11.737, 17.32, 28.6402, 51.9296, 99.5877, 196.342", \ + "9.25222, 11.9773, 17.5495, 28.8339, 52.062, 99.8388, 196.353", \ + "9.8655, 12.549, 18.0283, 29.1951, 52.5304, 100.743, 196.727", \ + "11.2192, 13.8459, 19.1271, 30.138, 52.8237, 100.073, 197.382" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "13.7095, 15.6773, 19.21, 25.6616, 37.9095, 62.1584, 110.623", \ + "14.0031, 15.9542, 19.4744, 25.9058, 38.1435, 62.3829, 110.843", \ + "14.5837, 16.5463, 20.0643, 26.4926, 38.7246, 62.9585, 111.417", \ + "15.6019, 17.5651, 21.1188, 27.5612, 39.8151, 64.0083, 112.434", \ + "16.8212, 18.8992, 22.509, 29.042, 41.3288, 65.5397, 113.967", \ + "17.4207, 19.7474, 23.6375, 30.4273, 43.0955, 67.6121, 116.311", \ + "15.7342, 18.3869, 22.7439, 30.0436, 43.287, 68.7402, 118.137" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.45256, 11.7436, 18.4124, 32.0182, 59.8972, 116.573, 230.895", \ + "8.48435, 11.7854, 18.4624, 32.0509, 59.9193, 116.581, 230.896", \ + "8.83208, 12.0707, 18.6679, 32.1866, 59.9848, 116.614, 230.899", \ + "9.57288, 12.7556, 19.2711, 32.6439, 60.2922, 116.746, 230.947", \ + "11.4724, 14.5896, 20.9087, 33.9423, 61.8749, 117.268, 231.2", \ + "15.1768, 18.1004, 24.2236, 37.1803, 63.882, 120.544, 232.74", \ + "21.4851, 24.3683, 30.447, 43.0762, 69.8357, 124.53, 238.695" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.8495, 16.8965, 20.417, 26.5369, 37.7772, 59.634, 103.227", \ + "15.4356, 17.4595, 20.9929, 27.1073, 38.3303, 60.1902, 103.782", \ + "16.7864, 18.8221, 22.32, 28.4308, 39.6476, 61.4932, 105.074", \ + "19.4795, 21.5449, 25.1031, 31.2494, 42.4832, 64.2954, 107.894", \ + "24.3878, 26.5796, 30.2292, 36.5486, 47.87, 69.7488, 113.234", \ + "32.4653, 34.9105, 38.98, 45.6812, 57.418, 79.5796, 123.079", \ + "45.5527, 48.3798, 52.9726, 60.4528, 73.1456, 96.3075, 140.892" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.80794, 11.5776, 17.2008, 28.5628, 51.8581, 99.5374, 196.305", \ + "8.83178, 11.6428, 17.2399, 28.5921, 51.8784, 99.5448, 196.312", \ + "9.20532, 11.9298, 17.4996, 28.7593, 51.9662, 99.5732, 196.312", \ + "10.0965, 12.7695, 18.2195, 29.3158, 52.3131, 99.7585, 196.384", \ + "12.3846, 14.9544, 20.108, 30.9234, 53.4431, 100.394, 196.616", \ + "16.6451, 19.1749, 24.1992, 34.6829, 56.7145, 102.687, 197.721", \ + "23.7887, 26.3749, 31.4142, 41.6894, 63.5159, 108.907, 204.982" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.84697, 0.815492, 0.791691, 0.779166, 0.771923, 0.768557, 0.766595", \ + "0.856984, 0.825447, 0.801536, 0.789322, 0.782053, 0.778367, 0.776303", \ + "0.885765, 0.853372, 0.831002, 0.817277, 0.810065, 0.806271, 0.804331", \ + "0.964593, 0.934988, 0.910602, 0.896029, 0.889179, 0.885127, 0.883103", \ + "1.13951, 1.10985, 1.09401, 1.08223, 1.08883, 1.06593, 1.06685", \ + "1.50815, 1.47971, 1.45605, 1.46304, 1.4496, 1.45915, 1.43955", \ + "2.25815, 2.23056, 2.20689, 2.20224, 2.20486, 2.21787, 2.29933" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.01164, 0.968714, 0.933864, 0.91205, 0.898732, 0.890098, 0.88489", \ + "1.02128, 0.978282, 0.943553, 0.92164, 0.908394, 0.899901, 0.894747", \ + "1.05725, 1.01331, 0.977623, 0.955076, 0.941512, 0.932721, 0.927315", \ + "1.1438, 1.09825, 1.06022, 1.03767, 1.02307, 1.01415, 1.00863", \ + "1.3511, 1.30072, 1.25753, 1.22967, 1.21362, 1.20619, 1.19857", \ + "1.78642, 1.73005, 1.67872, 1.6448, 1.62424, 1.61216, 1.60445", \ + "2.68025, 2.62075, 2.55459, 2.49775, 2.46558, 2.44458, 2.43283" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.02732, 0.995802, 0.971937, 0.95937, 0.952143, 0.948723, 0.946849", \ + "1.03608, 1.00519, 0.981253, 0.968595, 0.961074, 0.958026, 0.95609", \ + "1.0649, 1.03385, 1.01185, 0.998367, 0.991296, 0.987606, 0.985789", \ + "1.14286, 1.1126, 1.0891, 1.07551, 1.06957, 1.06604, 1.06401", \ + "1.31907, 1.28826, 1.26648, 1.25263, 1.24562, 1.24257, 1.24154", \ + "1.687, 1.65852, 1.63596, 1.62362, 1.61578, 1.61296, 1.61007", \ + "2.43746, 2.40982, 2.38593, 2.3743, 2.36604, 2.36257, 2.36211" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.15685, 1.1139, 1.07901, 1.05714, 1.04378, 1.03498, 1.02962", \ + "1.16619, 1.12329, 1.08837, 1.06646, 1.05322, 1.04462, 1.03931", \ + "1.20068, 1.1565, 1.11956, 1.0969, 1.08293, 1.07389, 1.06826", \ + "1.28757, 1.24464, 1.20625, 1.18047, 1.16495, 1.15548, 1.14969", \ + "1.49491, 1.44488, 1.40463, 1.37328, 1.35999, 1.37469, 1.34116", \ + "1.93107, 1.87396, 1.82241, 1.79079, 1.79094, 1.82331, 1.79596", \ + "2.82489, 2.76551, 2.69928, 2.64591, 2.61576, 2.63098, 2.67176" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.594804, 0.564987, 0.543172, 0.529944, 0.522497, 0.518114, 0.515265", \ + "0.603516, 0.570459, 0.545425, 0.529636, 0.520172, 0.514738, 0.511444", \ + "0.643144, 0.606814, 0.577714, 0.557622, 0.54636, 0.539489, 0.536994", \ + "0.762925, 0.71503, 0.674886, 0.650487, 0.632361, 0.618796, 0.61112", \ + "1.06293, 1.00701, 0.949921, 0.895498, 0.906053, 0.832813, 0.820277", \ + "1.72928, 1.65229, 1.55763, 1.46515, 1.38756, 1.40416, 1.34866", \ + "3.06902, 2.96867, 2.83964, 2.68147, 2.54141, 2.42439, 2.42164" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.872692, 0.82869, 0.792906, 0.770438, 0.756492, 0.747745, 0.742427", \ + "0.880835, 0.840222, 0.799792, 0.777344, 0.763364, 0.754239, 0.748758", \ + "0.927072, 0.879226, 0.838442, 0.811345, 0.794759, 0.78361, 0.777806", \ + "1.06194, 1.00471, 0.950736, 0.914391, 0.891258, 0.876843, 0.86962", \ + "1.40183, 1.32965, 1.2526, 1.18756, 1.14397, 1.11803, 1.10111", \ + "2.12418, 2.0304, 1.91498, 1.80353, 1.71798, 1.65588, 1.61678", \ + "3.55078, 3.43773, 3.27972, 3.09326, 2.92818, 2.79936, 2.70602" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.79971, 0.76989, 0.747995, 0.734711, 0.727203, 0.722819, 0.720106", \ + "0.809576, 0.77815, 0.756934, 0.742574, 0.733827, 0.728765, 0.725719", \ + "0.847621, 0.812054, 0.784916, 0.767961, 0.756444, 0.74919, 0.746094", \ + "0.966876, 0.921359, 0.88239, 0.856063, 0.839245, 0.828775, 0.823337", \ + "1.26934, 1.21112, 1.1523, 1.10079, 1.06563, 1.04151, 1.0291", \ + "1.93585, 1.85674, 1.76125, 1.66901, 1.59357, 1.53967, 1.50317", \ + "3.27542, 3.17514, 3.04066, 2.8887, 2.74197, 2.62112, 2.53009" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.668175, 0.624229, 0.588563, 0.566196, 0.552313, 0.543501, 0.53817", \ + "0.67614, 0.629216, 0.591815, 0.567733, 0.553326, 0.543917, 0.538174", \ + "0.722601, 0.672635, 0.62941, 0.601478, 0.583002, 0.574601, 0.566653", \ + "0.85845, 0.8002, 0.746959, 0.707561, 0.682763, 0.665496, 0.655048", \ + "1.19688, 1.1249, 1.04194, 0.982023, 0.936451, 0.913113, 0.888095", \ + "1.9189, 1.82443, 1.7082, 1.59656, 1.52365, 1.45911, 1.40951", \ + "3.34674, 3.23305, 3.0722, 2.88444, 2.725, 2.62632, 2.67684" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556248; + rise_capacitance : 0.556186; + rise_capacitance_range (0.466264, 0.556186); + fall_capacitance : 0.556248; + fall_capacitance_range (0.458882, 0.556248); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.246361, 0.25558, 0.284479, 0.36035, 0.533027, 0.904146, 1.65563" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.412521, 0.423063, 0.457639, 0.543059, 0.733694, 1.12955, 1.92448" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.422149, 0.430848, 0.459262, 0.535113, 0.708852, 1.07983, 1.83065" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.239431, 0.249962, 0.285628, 0.370633, 0.560826, 0.956396, 1.75153" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.274075, 0.282608, 0.312823, 0.389672, 0.564986, 0.938019, 1.69315" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.401189, 0.410914, 0.445154, 0.530453, 0.721088, 1.11699, 1.91468" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.434902, 0.443467, 0.473237, 0.550588, 0.725037, 1.09877, 1.85405" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.235335, 0.245652, 0.28099, 0.365656, 0.556211, 0.951653, 1.74933" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.686763; + rise_capacitance : 0.686763; + rise_capacitance_range (0.562188, 0.686763); + fall_capacitance : 0.684935; + fall_capacitance_range (0.567122, 0.684935); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.15723, 2.07076, 7.8539, 8.33252, 13.4102, 19.0578, 31.7395", \ + "0.815347, 1.72888, 7.51202, 6.92008, 13.0683, 18.7159, 31.3976", \ + "0.178231, 5.08927, 6.8749, 10.2805, 12.4312, 22.0763, 30.7605", \ + "0.141601, 4.00161, 5.78725, 6.32812, 11.3436, 20.9886, 30.8008", \ + "0.646797, 1.56033, 3.34597, 6.75153, 12.8998, 22.5448, 35.2266", \ + "3.75924, 4.67277, 6.45841, 9.86398, 16.0122, 25.6573, 38.339", \ + "9.98412, 10.8977, 12.6833, 17.207, 26.2346, 35.8797, 48.5614" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.52252, -0.956146, 0.146464, -0.676269, 1.92083, 7.36653, 14.5407", \ + "-1.8792, -1.31282, -0.210214, -2.12303, 1.56415, 7.00985, 14.184", \ + "-2.58901, -2.02263, -0.920024, -2.83284, 0.854344, 2.30254, 9.47673", \ + "-6.92139, -3.42807, -2.32546, -3.08594, -0.551093, 0.897106, 9.20899", \ + "-6.74858, -6.18221, -9.0771, -6.99242, -3.30523, -1.85703, 5.31715", \ + "-12.0299, -11.4636, -10.3609, -12.2738, -12.5841, -7.13838, -3.96169", \ + "-21.6849, -21.1185, -20.0159, -20.8106, -18.2415, -20.7908, -17.6141" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.92236, 1.70555, -0.660301, -4.05029, -8.95987, -16.2971, -25.6107", \ + "3.26856, 2.05174, -0.314103, -0.777152, -8.61367, -15.9509, -25.2645", \ + "3.92778, 2.71097, 0.345119, -0.117931, -7.95445, -15.2917, -24.6052", \ + "6.16455, 3.89671, 1.53086, -1.79688, -6.7687, -14.1059, -26.2891", \ + "8.82852, 7.6117, 5.24586, 0.785308, -3.05371, -10.3909, -23.702", \ + "8.26351, 7.04669, 4.68085, 0.220298, -3.61872, -10.9559, -24.267", \ + "7.13349, 5.91668, 3.55083, -3.78906, -8.74624, -16.0834, -29.3945" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.55322, 9.00854, 7.97435, 7.2168, 6.84598, 12.282, 19.1566", \ + "9.93008, 9.3854, 8.35121, 6.50357, 7.22284, 12.6589, 15.536", \ + "10.6956, 10.151, 9.11677, 11.2666, 7.9884, 9.42695, 16.3015", \ + "13.3447, 15.727, 14.6928, 10, 9.56693, 11.0055, 15.8826", \ + "19.6183, 19.0736, 18.0395, 16.1918, 16.9111, 14.3521, 17.2292", \ + "27.07, 26.5253, 25.4912, 27.641, 24.3628, 21.8038, 24.6809", \ + "45.007, 44.4623, 43.4282, 38.7012, 38.3023, 35.7433, 38.6204" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.057489, -0.0584321, -0.0590246, -0.0594271, -0.0599179, -0.0600313, -0.0600376" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0720225, 0.0721291, 0.0718747, 0.0724202, 0.0717796, 0.0717804, 0.0716851" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11308, 0.112401, 0.111112, 0.111124, 0.110399, 0.109693, 0.108523" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0986767, -0.0988154, -0.0988633, -0.0990226, -0.0987254, -0.0983376, -0.0975681" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DHLx3_ASAP7_75t_SL) { + area : 0.24786; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 19820; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17920.2; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18470.2; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18851; + when : "(!CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20671.9; + when : "(!CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16299.4; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18672.1; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "22.6787, 24.1118, 26.6659, 31.2807, 39.7686, 56.0862, 88.4947", \ + "23.533, 24.9742, 27.5198, 32.1367, 40.6231, 56.9413, 89.3506", \ + "24.6529, 26.0925, 28.6391, 33.2545, 41.74, 58.0595, 90.471", \ + "26.1412, 27.6091, 30.1619, 34.7691, 43.2543, 59.5646, 91.9757", \ + "27.8416, 29.2811, 31.8246, 36.4321, 44.9158, 61.2303, 93.6457", \ + "29.2127, 30.6443, 33.1824, 37.7845, 46.2579, 62.5677, 94.98", \ + "28.729, 30.169, 32.6768, 37.262, 45.7352, 62.0445, 94.4459" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.04455, 11.0786, 15.401, 24.3085, 42.5952, 80.099, 156.268", \ + "9.04301, 11.073, 15.4049, 24.308, 42.5956, 80.0992, 156.268", \ + "9.03289, 11.0696, 15.3961, 24.3032, 42.5936, 80.0979, 156.267", \ + "9.02559, 11.0623, 15.3898, 24.3003, 42.5828, 80.0981, 156.273", \ + "8.98126, 11.0173, 15.4214, 24.2906, 42.7892, 80.0821, 156.268", \ + "8.9317, 10.9659, 15.2964, 24.3433, 42.5409, 80.5146, 156.874", \ + "8.8841, 10.9098, 15.2451, 24.1663, 42.5164, 80.0893, 156.289" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "21.2751, 22.811, 25.4424, 30.0163, 38.0728, 53.0443, 82.3637", \ + "22.0688, 23.605, 26.2348, 30.8092, 38.8657, 53.8431, 83.1563", \ + "23.2539, 24.7849, 27.4117, 31.9795, 40.0318, 55.014, 84.3197", \ + "24.7369, 26.2682, 28.8917, 33.4634, 41.5159, 56.4874, 85.8028", \ + "26.5622, 28.0898, 30.7155, 35.2899, 43.3533, 58.315, 87.6371", \ + "28.1591, 29.6977, 32.3239, 36.9047, 44.9718, 59.9646, 89.2612", \ + "28.0359, 29.5998, 32.2573, 36.865, 44.9475, 59.916, 89.2013" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.79901, 11.543, 15.2007, 22.7793, 38.2862, 70.1672, 135.294", \ + "9.79946, 11.5464, 15.203, 22.7811, 38.2882, 70.1713, 135.294", \ + "9.8638, 11.6037, 15.2535, 22.819, 38.3104, 70.1751, 135.299", \ + "9.95993, 11.6894, 15.3516, 22.8809, 38.3644, 70.1998, 135.301", \ + "10.2584, 11.9795, 15.6474, 23.0821, 38.72, 70.3221, 135.359", \ + "10.8937, 12.5927, 16.1258, 23.5221, 38.7968, 70.665, 135.436", \ + "12.1604, 13.8184, 17.2566, 24.4478, 39.4712, 70.9791, 135.904" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.5329, 16.9756, 19.5439, 24.1583, 32.6441, 48.9592, 81.3645", \ + "15.8219, 17.2654, 19.8139, 24.4142, 32.889, 49.191, 81.5917", \ + "16.4775, 17.9321, 20.4732, 25.0689, 33.5262, 49.8285, 82.2138", \ + "17.6435, 19.1167, 21.6204, 26.2087, 34.6872, 50.9545, 83.3215", \ + "19.2903, 20.8295, 23.4667, 28.0833, 36.5908, 52.8612, 85.2938", \ + "20.4168, 22.1007, 24.9609, 29.7454, 38.5794, 55.1034, 87.6418", \ + "19.5195, 21.4468, 24.6647, 30.041, 39.2705, 56.463, 89.8619" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.09616, 11.1137, 15.4248, 24.3169, 42.5994, 80.0973, 156.266", \ + "9.10368, 11.1384, 15.4631, 24.3533, 42.6212, 80.1063, 156.271", \ + "9.39755, 11.3955, 15.6926, 24.5035, 42.7178, 80.148, 156.282", \ + "10.1386, 12.1036, 16.3168, 25.1447, 43.081, 80.3682, 156.389", \ + "12.1606, 14.0235, 18.0673, 26.5634, 44.5695, 81.0813, 156.824", \ + "16.2385, 17.9714, 21.7629, 30.0574, 47.3183, 83.9351, 158.587", \ + "23.2307, 24.9547, 28.5698, 36.4902, 53.7012, 89.7414, 163.452" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.8031, 18.3464, 21.0069, 25.5856, 33.6275, 48.5954, 77.9269", \ + "17.3881, 18.9275, 21.5838, 26.1549, 34.1944, 49.1558, 78.4717", \ + "18.7405, 20.251, 22.8969, 27.4401, 35.4534, 50.4009, 79.7085", \ + "21.5206, 23.0848, 25.7585, 30.3327, 38.3762, 53.3137, 82.6006", \ + "26.7589, 28.3911, 31.1739, 35.8009, 43.9225, 58.8863, 88.1608", \ + "35.3958, 37.0999, 40.2342, 45.2725, 53.6989, 68.9807, 98.3199", \ + "49.3842, 51.387, 54.792, 60.4753, 69.784, 86.004, 116.353" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.81182, 11.5898, 15.2374, 22.8013, 38.3079, 70.1366, 135.267", \ + "9.81807, 11.6041, 15.2574, 22.8185, 38.318, 70.1425, 135.282", \ + "10.1126, 11.9031, 15.4914, 23.0241, 38.4362, 70.1971, 135.303", \ + "11.0238, 12.7484, 16.3145, 23.6859, 38.8955, 70.4888, 135.422", \ + "13.3245, 14.978, 18.3615, 25.4361, 40.324, 71.367, 135.878", \ + "18.0415, 19.6541, 23.0222, 29.6265, 44.1007, 74.2375, 137.976", \ + "26.0599, 27.5469, 30.7548, 37.325, 51.2321, 80.8607, 142.834" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.20612, 1.13691, 1.07042, 1.01966, 0.988629, 0.971103, 0.960504", \ + "1.21534, 1.14898, 1.07939, 1.02954, 0.998951, 0.980817, 0.97009", \ + "1.24393, 1.17718, 1.10843, 1.05758, 1.02688, 1.00835, 0.997751", \ + "1.3218, 1.25255, 1.18623, 1.13615, 1.1052, 1.08415, 1.07321", \ + "1.49629, 1.43177, 1.3665, 1.31656, 1.29922, 1.26446, 1.25446", \ + "1.86512, 1.7975, 1.73049, 1.69614, 1.65718, 1.67941, 1.71134", \ + "2.61111, 2.54667, 2.48212, 2.4317, 2.40649, 2.40068, 2.39917" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.42635, 1.34734, 1.25415, 1.17866, 1.13221, 1.10376, 1.08595", \ + "1.4362, 1.35721, 1.26324, 1.18825, 1.14156, 1.11319, 1.09538", \ + "1.47156, 1.3922, 1.29857, 1.22225, 1.17472, 1.14553, 1.12739", \ + "1.56071, 1.47836, 1.38225, 1.30376, 1.25663, 1.22679, 1.20824", \ + "1.77067, 1.6874, 1.58603, 1.50059, 1.44814, 1.4164, 1.39612", \ + "2.22067, 2.13156, 2.0194, 1.92401, 1.86324, 1.82478, 1.80052", \ + "3.1366, 3.03819, 2.9106, 2.79336, 2.71163, 2.65789, 2.62648" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.38654, 1.31729, 1.25075, 1.19994, 1.16887, 1.15133, 1.14085", \ + "1.39511, 1.32872, 1.2591, 1.20921, 1.17859, 1.16047, 1.1498", \ + "1.42383, 1.35745, 1.28905, 1.23843, 1.20786, 1.1894, 1.17892", \ + "1.50042, 1.4332, 1.36776, 1.31685, 1.28554, 1.26824, 1.25795", \ + "1.67632, 1.61087, 1.54239, 1.49182, 1.46178, 1.4434, 1.43292", \ + "2.04486, 1.97886, 1.90948, 1.86267, 1.83002, 1.81242, 1.80275", \ + "2.7906, 2.72594, 2.65971, 2.61049, 2.58028, 2.56257, 2.5523" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.57174, 1.49275, 1.39953, 1.32396, 1.27744, 1.24889, 1.23093", \ + "1.5811, 1.50218, 1.40828, 1.3333, 1.28659, 1.25815, 1.24021", \ + "1.61554, 1.53539, 1.44126, 1.36429, 1.31634, 1.28695, 1.26846", \ + "1.70461, 1.62259, 1.52668, 1.4472, 1.39647, 1.36557, 1.34628", \ + "1.91493, 1.83023, 1.7347, 1.64686, 1.61537, 1.56815, 1.53964", \ + "2.36502, 2.27488, 2.16243, 2.06697, 2.03217, 1.98365, 1.9436", \ + "3.28127, 3.18248, 3.05431, 2.94086, 2.86967, 2.80902, 2.82845" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.957892, 0.891096, 0.823623, 0.774496, 0.743723, 0.724233, 0.712641", \ + "0.964831, 0.896371, 0.825041, 0.772071, 0.737986, 0.716984, 0.70417", \ + "1.00842, 0.938723, 0.862339, 0.805724, 0.765495, 0.742486, 0.726685", \ + "1.14415, 1.0649, 0.977675, 0.91402, 0.852974, 0.814524, 0.793057", \ + "1.50478, 1.4145, 1.29823, 1.19446, 1.13088, 1.04842, 1.02495", \ + "2.2969, 2.1886, 2.03245, 1.87277, 1.7378, 1.64113, 1.55512", \ + "3.86013, 3.74328, 3.54647, 3.29354, 3.04903, 2.83893, 2.67786" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.28678, 1.20679, 1.11292, 1.03727, 0.990116, 0.960985, 0.94273", \ + "1.29307, 1.21315, 1.11929, 1.04212, 0.994828, 0.965663, 0.947591", \ + "1.34168, 1.26316, 1.16264, 1.08146, 1.02884, 0.995658, 0.974997", \ + "1.49688, 1.40585, 1.29346, 1.19593, 1.12932, 1.08899, 1.06226", \ + "1.89508, 1.79164, 1.65288, 1.51459, 1.41052, 1.34229, 1.29881", \ + "2.75918, 2.64312, 2.46121, 2.25029, 2.07342, 1.94027, 1.85015", \ + "4.44206, 4.30696, 4.08435, 3.78736, 3.47659, 3.22052, 3.02783" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.16266, 1.09606, 1.02681, 0.979002, 0.948291, 0.928819, 0.917304", \ + "1.17228, 1.10717, 1.03891, 0.988103, 0.955281, 0.934925, 0.922455", \ + "1.21421, 1.1437, 1.07174, 1.01439, 0.97897, 0.955646, 0.942435", \ + "1.34922, 1.27145, 1.18743, 1.11921, 1.06775, 1.03649, 1.01761", \ + "1.70902, 1.6171, 1.50317, 1.39778, 1.31553, 1.25842, 1.22436", \ + "2.50124, 2.39384, 2.23871, 2.07741, 1.92776, 1.81015, 1.72812", \ + "4.06638, 3.94846, 3.75323, 3.50242, 3.24434, 3.02084, 2.83903" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.08245, 1.00249, 0.908716, 0.833183, 0.786122, 0.757049, 0.73892", \ + "1.08749, 1.00678, 0.912158, 0.834496, 0.787015, 0.757731, 0.739499", \ + "1.13644, 1.05072, 0.951779, 0.865893, 0.810458, 0.776253, 0.756587", \ + "1.29146, 1.20188, 1.09204, 0.98733, 0.918331, 0.870898, 0.847975", \ + "1.68874, 1.5852, 1.44923, 1.30272, 1.20073, 1.1278, 1.08343", \ + "2.55385, 2.43292, 2.26082, 2.04186, 1.87038, 1.73425, 1.70241", \ + "4.23707, 4.10098, 3.8754, 3.57727, 3.2697, 3.00995, 2.82594" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.556658; + rise_capacitance : 0.5564; + rise_capacitance_range (0.46706, 0.5564); + fall_capacitance : 0.556658; + fall_capacitance_range (0.459171, 0.556658); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.24781, 0.25662, 0.285754, 0.361532, 0.534049, 0.904648, 1.65643" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.414264, 0.424126, 0.458659, 0.543938, 0.734357, 1.13016, 1.92525" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.42375, 0.432207, 0.460728, 0.536293, 0.710014, 1.08038, 1.83139" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.240388, 0.250895, 0.286345, 0.371028, 0.561366, 0.956886, 1.75217" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.275125, 0.28397, 0.313985, 0.390559, 0.565719, 0.938872, 1.69388" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402106, 0.411613, 0.446327, 0.531163, 0.721392, 1.11672, 1.91506" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.435924, 0.444972, 0.474536, 0.550721, 0.725916, 1.09979, 1.85494" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.236199, 0.246189, 0.281338, 0.366062, 0.556328, 0.951472, 1.74955" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.686681; + rise_capacitance : 0.686681; + rise_capacitance_range (0.56224, 0.686681); + fall_capacitance : 0.685233; + fall_capacitance_range (0.567151, 0.685233); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0814844, 1.40109, 3.96215, 5.86426, 13.1428, 20.881, 32.341", \ + "0.102477, 1.42208, 3.98314, 8.79266, 13.1638, 20.902, 32.362", \ + "0.152941, 1.47255, 4.03361, 4.84563, 13.2143, 20.9525, 32.4125", \ + "-2.63916, 1.60739, 4.16845, 6.13281, 9.35161, 17.0898, 29.6875", \ + "0.693122, 2.01273, 0.576287, 5.38581, 9.75695, 17.4951, 28.9551", \ + "2.04642, 3.36603, 5.92709, 6.73911, 11.1103, 22.8459, 34.306", \ + "6.92352, 8.24312, 10.8042, 12.7344, 19.9848, 27.723, 43.1805" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.04053, -3.77583, -3.25181, -1.09619, -0.25863, 3.32987, 9.1272", \ + "-4.72626, -4.46156, -3.93755, -2.91108, -0.944366, 2.64414, 8.44147", \ + "-6.06508, -5.80037, -5.27636, -4.24989, -2.28318, 1.30532, 7.10265", \ + "-7.52197, -4.34986, -3.82585, -5.625, -0.832664, -1.24166, 5.70313", \ + "-9.18597, -8.92127, -8.39725, -7.37078, -5.40407, -1.81557, 3.98176", \ + "-16.2385, -15.9738, -15.4498, -14.4234, -12.4566, -12.8656, -7.06832", \ + "-21.9827, -21.718, -21.194, -23.0469, -22.1983, -22.6073, -20.8075" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.50072, 2.03769, -1.50391, -6.68227, -14.146, -22.2244", \ + "6.11315, 4.84483, 2.38179, 1.74761, -6.33816, -13.8019, -21.8803", \ + "6.77481, 5.50649, 3.04345, 2.40927, -5.6765, -13.1402, -21.2187", \ + "9.0625, 6.72362, 4.26059, 0.78125, -4.45937, -11.9231, -22.8613", \ + "10.0014, 8.73312, 6.27008, 5.6359, -2.44987, -9.91356, -21.9895", \ + "12.9207, 11.6523, 9.18931, 4.55763, 0.469359, -6.99433, -19.0703", \ + "10.1648, 8.89648, 6.43344, 2.91993, -2.28651, -9.7502, -21.8262" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.3222, 14.1057, 9.70242, 10.1294, 9.78245, 15.3444, 22.4707", \ + "15.0258, 14.8092, 10.406, 9.70382, 10.486, 16.0479, 23.1743", \ + "16.4191, 16.2025, 11.7993, 11.0971, 11.8793, 13.4437, 20.57", \ + "16.2427, 18.9335, 14.5303, 15, 14.6103, 16.1747, 21.107", \ + "24.3901, 24.1736, 19.7704, 19.0682, 15.8529, 17.4173, 20.5461", \ + "33.9822, 33.7656, 29.3624, 28.6602, 29.4424, 27.0093, 30.1382", \ + "49.614, 49.3974, 44.9942, 45.4102, 45.0742, 42.6411, 41.7725" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0565045, -0.0574425, -0.0580839, -0.0584119, -0.0588128, -0.0590309, -0.0589298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0730029, 0.0731128, 0.0728542, 0.0732898, 0.0727969, 0.0727151, 0.0726723" \ + ); + } + } + internal_power () { + when : "!CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11408, 0.11339, 0.11237, 0.111571, 0.11158, 0.110671, 0.109316" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0977091, -0.0978443, -0.0978871, -0.0977285, -0.0969908, -0.0973336, -0.096593" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx1_ASAP7_75t_SL) { + area : 0.2187; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 10944.4; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13168.8; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13139.3; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 10624.7; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12296.2; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12249.1; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12070.4; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "14.832, 16.6906, 20.1034, 26.3989, 38.5015, 62.5372, 110.574", \ + "15.8861, 17.7435, 21.1559, 27.4534, 39.5577, 63.5949, 111.626", \ + "17.6166, 19.4763, 22.8894, 29.1871, 41.2887, 65.3214, 113.357", \ + "20.4413, 22.2838, 25.7055, 32.046, 44.1287, 68.1666, 116.196", \ + "24.7602, 26.5905, 29.9979, 36.3266, 48.4535, 72.4967, 120.581", \ + "31.3858, 33.1972, 36.5819, 42.887, 55.0159, 79.0528, 127.076", \ + "41.487, 43.2786, 46.7198, 52.892, 64.998, 89.0105, 137.054" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.16683, 10.5863, 17.3186, 30.9083, 58.5269, 114.307, 226.524", \ + "7.17718, 10.5978, 17.3246, 30.9117, 58.5283, 114.308, 226.514", \ + "7.24754, 10.6635, 17.3807, 30.9567, 58.552, 114.315, 226.524", \ + "7.35012, 10.7652, 17.4895, 31.0575, 58.5877, 114.343, 226.534", \ + "7.59777, 10.9749, 17.6451, 31.1698, 58.8342, 114.394, 226.601", \ + "8.0924, 11.392, 17.9838, 31.4816, 59.2685, 114.925, 226.625", \ + "9.0651, 12.2339, 18.6403, 31.8137, 59.5083, 115.674, 230.673" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "20.8497, 22.7353, 26.0095, 31.893, 42.9029, 64.5724, 107.86", \ + "21.9175, 23.802, 27.0775, 32.9594, 43.9847, 65.6482, 108.927", \ + "23.648, 25.531, 28.8041, 34.6871, 45.6959, 67.3657, 110.655", \ + "26.5474, 28.4436, 31.7147, 37.5935, 48.6012, 70.272, 113.538", \ + "31.0803, 32.9544, 36.2145, 42.0832, 53.0851, 74.764, 118.038", \ + "38.1672, 40.0271, 43.2651, 49.1132, 60.1215, 81.7775, 125.053", \ + "49.1966, 51.0429, 54.2482, 60.0852, 71.078, 92.7396, 136.019" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.45481, 10.2857, 15.8861, 27.1193, 50.1207, 96.986, 191.705", \ + "7.45366, 10.2828, 15.8841, 27.1185, 50.126, 96.9996, 191.707", \ + "7.44557, 10.2755, 15.8759, 27.1139, 50.123, 96.9991, 191.705", \ + "7.42063, 10.2569, 15.8533, 27.0942, 50.1144, 96.9754, 191.711", \ + "7.37605, 10.2068, 15.8132, 27.1739, 50.1697, 96.9897, 191.716", \ + "7.3153, 10.1277, 15.7235, 26.9851, 50.0966, 97.2553, 191.748", \ + "7.2824, 10.066, 15.6544, 26.927, 50.5011, 97.5702, 193.044" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.4779, 13.3474, 16.7529, 23.0258, 35.111, 59.1373, 107.172", \ + "11.7723, 13.6295, 17.011, 23.2656, 35.3383, 59.3631, 107.391", \ + "12.2017, 14.099, 17.512, 23.7871, 35.8672, 59.8858, 107.916", \ + "13.0224, 14.9131, 18.3309, 24.6238, 36.7356, 60.7249, 108.748", \ + "13.8174, 15.8018, 19.3218, 25.7586, 37.9638, 61.9706, 109.98", \ + "13.713, 15.9636, 19.7547, 26.5404, 39.1623, 63.5503, 111.661", \ + "11.0904, 13.6765, 17.9761, 25.2354, 38.4789, 64.0466, 113.481" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.52246, 10.8536, 17.477, 30.9777, 58.5209, 114.299, 226.52", \ + "7.61592, 10.9185, 17.5358, 31.0224, 58.5443, 114.307, 226.521", \ + "7.91824, 11.2165, 17.7656, 31.1679, 58.6314, 114.336, 226.525", \ + "8.68125, 11.9534, 18.3627, 31.6264, 58.9131, 114.474, 226.584", \ + "10.3924, 13.6112, 19.9914, 32.9809, 59.9308, 115.05, 226.798", \ + "13.6241, 16.7186, 23.0907, 35.9655, 63.2294, 117.854, 228.614", \ + "19.2579, 22.384, 28.6042, 41.573, 68.1948, 123.037, 232.934" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "12.4742, 14.3804, 17.7081, 23.5787, 34.5796, 56.2474, 99.5273", \ + "13.068, 14.9646, 18.2819, 24.1312, 35.1172, 56.7952, 100.081", \ + "14.3136, 16.2341, 19.5564, 25.4305, 36.4155, 58.0713, 101.344", \ + "16.8615, 18.8173, 22.2087, 28.1232, 39.1461, 60.8064, 104.137", \ + "21.3661, 23.4904, 27.0286, 33.1838, 44.2643, 66.0269, 109.187", \ + "28.7319, 31.1268, 35.1061, 41.6972, 53.3478, 75.368, 118.662", \ + "40.7943, 43.558, 48.1906, 55.5255, 68.1005, 91.5218, 135.954" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.50999, 10.3456, 15.9233, 27.1474, 50.1263, 96.9602, 191.687", \ + "7.57952, 10.401, 15.9647, 27.179, 50.1396, 96.9861, 191.711", \ + "8.00724, 10.789, 16.2572, 27.3868, 50.2744, 97.0115, 191.694", \ + "8.91438, 11.6333, 17.0186, 27.9288, 50.6068, 97.2015, 191.777", \ + "11.0875, 13.6934, 18.9322, 29.4841, 52.014, 97.8585, 192.025", \ + "14.764, 17.4377, 22.5982, 33.0201, 54.7188, 100.348, 193.118", \ + "21.0719, 23.8752, 29.0341, 39.5898, 61.5928, 105.887, 199.986" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.789013, 0.7854, 0.784284, 0.784239, 0.784297, 0.784372, 0.784608", \ + "0.799835, 0.795522, 0.794638, 0.795, 0.795204, 0.795714, 0.795711", \ + "0.839896, 0.835296, 0.833364, 0.83266, 0.833018, 0.833047, 0.833074", \ + "0.939186, 0.933847, 0.928608, 0.931273, 0.928318, 0.928966, 0.92895", \ + "1.15741, 1.14821, 1.14376, 1.14474, 1.14705, 1.14133, 1.14505", \ + "1.60425, 1.59403, 1.58479, 1.58281, 1.59685, 1.58636, 1.57513", \ + "2.49335, 2.47601, 2.45777, 2.44893, 2.45131, 2.47278, 2.57234" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.906282, 0.895709, 0.88828, 0.883454, 0.880982, 0.879591, 0.878814", \ + "0.916754, 0.906302, 0.898626, 0.894196, 0.891464, 0.890095, 0.88947", \ + "0.953086, 0.942659, 0.934907, 0.930306, 0.927986, 0.926576, 0.925779", \ + "1.04026, 1.02949, 1.02243, 1.01804, 1.0153, 1.01415, 1.01325", \ + "1.23283, 1.22236, 1.2156, 1.21136, 1.20818, 1.20705, 1.20647", \ + "1.62512, 1.61407, 1.6065, 1.6027, 1.60075, 1.59847, 1.59851", \ + "2.40881, 2.3993, 2.39173, 2.38694, 2.38373, 2.38228, 2.38191" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.644966, 0.641313, 0.640165, 0.640128, 0.640205, 0.640296, 0.64048", \ + "0.656156, 0.651849, 0.650919, 0.651247, 0.65145, 0.651958, 0.651997", \ + "0.697698, 0.692872, 0.691153, 0.691205, 0.691531, 0.691644, 0.691654", \ + "0.79545, 0.78998, 0.785806, 0.786448, 0.785886, 0.786225, 0.786721", \ + "1.01355, 1.0044, 0.999722, 0.997421, 0.996883, 0.997013, 0.997285", \ + "1.46006, 1.44921, 1.43977, 1.43448, 1.43228, 1.43074, 1.43035", \ + "2.34959, 2.33301, 2.31333, 2.30618, 2.29888, 2.29475, 2.29484" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.725744, 0.71517, 0.707763, 0.702941, 0.700464, 0.69905, 0.698181", \ + "0.736757, 0.726302, 0.718617, 0.714169, 0.712234, 0.710165, 0.709214", \ + "0.773131, 0.762577, 0.754746, 0.750103, 0.747746, 0.746309, 0.745447", \ + "0.860389, 0.850296, 0.842972, 0.838368, 0.83583, 0.834181, 0.833065", \ + "1.05257, 1.04195, 1.03679, 1.03763, 1.03129, 1.02664, 1.02541", \ + "1.44477, 1.43382, 1.42711, 1.42547, 1.42481, 1.42854, 1.42231", \ + "2.22905, 2.21968, 2.21183, 2.20853, 2.21698, 2.22406, 2.24641" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.393554, 0.387711, 0.384437, 0.383119, 0.382359, 0.382201, 0.381984", \ + "0.40198, 0.39501, 0.389588, 0.386073, 0.384837, 0.383999, 0.383475", \ + "0.435097, 0.426709, 0.420603, 0.41615, 0.414147, 0.412885, 0.412799", \ + "0.539582, 0.526732, 0.511526, 0.503738, 0.498011, 0.493119, 0.492944", \ + "0.794447, 0.773717, 0.754779, 0.734393, 0.720179, 0.711125, 0.705356", \ + "1.35126, 1.31975, 1.28413, 1.24931, 1.24875, 1.21308, 1.20831", \ + "2.48598, 2.4443, 2.38977, 2.32886, 2.26129, 2.23517, 2.1946" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.58119, 0.570982, 0.563724, 0.559295, 0.556387, 0.553931, 0.552974", \ + "0.589996, 0.578812, 0.570686, 0.567187, 0.564148, 0.561646, 0.560437", \ + "0.631762, 0.61878, 0.609054, 0.602354, 0.598732, 0.595693, 0.593919", \ + "0.751373, 0.732813, 0.716042, 0.704618, 0.698153, 0.694912, 0.692759", \ + "1.03777, 1.01053, 0.982128, 0.959346, 0.943998, 0.933042, 0.926036", \ + "1.63702, 1.59828, 1.55287, 1.50955, 1.47418, 1.44951, 1.43418", \ + "2.84068, 2.79248, 2.7236, 2.65189, 2.58069, 2.52344, 2.48402" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.600557, 0.594083, 0.590513, 0.589375, 0.589296, 0.589114, 0.588889", \ + "0.607957, 0.601284, 0.598941, 0.596615, 0.596152, 0.59561, 0.595213", \ + "0.641807, 0.632074, 0.626529, 0.622781, 0.620925, 0.619908, 0.619924", \ + "0.745307, 0.731445, 0.718435, 0.711014, 0.706244, 0.7037, 0.702341", \ + "1.00079, 0.979278, 0.959263, 0.939951, 0.926235, 0.919127, 0.913964", \ + "1.55883, 1.52676, 1.49104, 1.4527, 1.42369, 1.39983, 1.38525", \ + "2.69286, 2.65175, 2.59707, 2.53498, 2.47084, 2.41643, 2.37628" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.374649, 0.364411, 0.357152, 0.352726, 0.349869, 0.347318, 0.346429", \ + "0.383925, 0.37296, 0.365627, 0.358554, 0.355334, 0.354575, 0.353111", \ + "0.42589, 0.412249, 0.399728, 0.392236, 0.38726, 0.384247, 0.382898", \ + "0.545075, 0.526354, 0.511227, 0.496691, 0.489319, 0.485082, 0.482605", \ + "0.830369, 0.803661, 0.773686, 0.754266, 0.746614, 0.73077, 0.717781", \ + "1.43024, 1.39127, 1.34486, 1.30017, 1.2675, 1.25703, 1.22422", \ + "2.63531, 2.58566, 2.51692, 2.44322, 2.37839, 2.31668, 2.35895" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.561073; + rise_capacitance : 0.558479; + rise_capacitance_range (0.476293, 0.558479); + fall_capacitance : 0.561073; + fall_capacitance_range (0.463232, 0.561073); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.76562, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.248542, 0.257293, 0.28629, 0.361164, 0.533086, 0.901896, 1.65208" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.407131, 0.418549, 0.454961, 0.543385, 0.734683, 1.13078, 1.92803" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.399709, 0.40829, 0.437059, 0.512503, 0.683957, 1.0532, 1.8032" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258973, 0.269711, 0.306541, 0.394766, 0.585819, 0.982018, 1.77893" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.234941, 0.244037, 0.273555, 0.350553, 0.524526, 0.893118, 1.64651" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.430891, 0.441758, 0.477536, 0.563513, 0.754962, 1.14964, 1.94542" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394021, 0.402469, 0.432107, 0.509009, 0.683329, 1.05212, 1.80542" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.267792, 0.278462, 0.3146, 0.40063, 0.591924, 0.986586, 1.78218" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.694362; + rise_capacitance : 0.694362; + rise_capacitance_range (0.566472, 0.694362); + fall_capacitance : 0.69134; + fall_capacitance_range (0.571358, 0.69134); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.49512, -2.52631, -2.59883, -1.7334, -3.31784, -5.0336, -11.0608", \ + "-2.59985, -2.63104, -2.70356, -2.88915, -3.42258, -5.13834, -11.1655", \ + "-2.78393, -2.81512, -2.88764, -3.07323, -3.60665, -5.32241, -11.3496", \ + "-2.03857, 0.915772, 0.843253, -2.22656, -3.87327, -5.58902, -10.498", \ + "-1.55291, -1.5841, -1.65662, -1.84222, -2.37564, -4.0914, -10.1186", \ + "2.19048, 2.15929, 2.08677, 1.90118, 1.36776, -4.3455, -10.3727", \ + "11.4304, 11.3992, 11.3266, 8.26171, 6.61013, 0.896868, -5.13031" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.77832, 3.14365, 3.84363, 6.19141, 9.2181, 9.4176, 5.8191", \ + "2.5008, 2.86613, 3.56611, 8.84083, 8.94058, 9.14008, 5.54157", \ + "1.96884, 2.33418, 7.03165, 8.30887, 8.40862, 8.60812, 5.00962", \ + "2.00928, 1.36264, 6.06012, 4.45312, 7.43709, 7.63659, 5.15626", \ + "0.901749, 1.26708, 1.96706, 7.24178, 7.34153, 7.54103, 7.94003", \ + "0.710621, 1.07595, 1.77593, 3.05315, 7.1504, 7.3499, 7.7489", \ + "-3.66914, -3.30381, 1.39367, 3.78906, 6.76814, 6.96764, 7.36664" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.33724, 9.06384, 8.57157, 9.67303, 10.637, 17.3125, 31.625", \ + "8.98574, 8.71233, 8.22007, 7.45376, 10.2855, 16.961, 31.2735", \ + "8.31441, 8.041, 7.54873, 6.78242, 9.61417, 16.2897, 30.6022", \ + "8.11035, 6.82498, 6.33272, 6.67969, 8.39816, 15.0737, 26.625", \ + "11.197, 10.9236, 10.4314, 9.66505, 8.4993, 15.1748, 25.4898", \ + "11.3993, 11.1259, 10.6336, 9.86734, 12.6991, 15.3771, 25.6921", \ + "11.8039, 11.5305, 11.0382, 7.39258, 9.10617, 15.7817, 26.0967" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.981445, 0.366626, -0.814076, -1.90918, -3.39617, -4.22904, -1.89728", \ + "5.58775, 0.975433, -0.205269, 1.62657, -2.78736, -3.62023, -1.28847", \ + "6.78128, 2.16896, 0.988255, 2.82009, -1.59384, -2.42671, -0.0949504", \ + "6.08643, 8.45714, 3.27894, 2.22656, 0.696846, -0.136024, -0.683599", \ + "9.27039, 8.65557, 7.47487, 5.30921, 4.89278, 4.05991, 2.39417", \ + "16.1205, 15.5057, 14.325, 12.1593, 11.7429, 6.9125, 9.24426", \ + "27.6511, 27.0363, 25.8555, 20.8106, 19.276, 14.4456, 16.7773" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0610817, -0.0619473, -0.0625766, -0.0628149, -0.0634994, -0.0634035, -0.0636278" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0735635, 0.0736696, 0.0733593, 0.0739294, 0.0739873, 0.0733437, 0.0732547" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.110814, 0.109495, 0.108587, 0.108132, 0.108626, 0.107321, 0.106319" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0984311, -0.0985633, -0.0984869, -0.0983942, -0.0981229, -0.0979989, -0.0972793" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx2_ASAP7_75t_SL) { + area : 0.23328; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 14707.2; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16009.8; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16905.6; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13462; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16058.2; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15084.7; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15371.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "17.4897, 19.409, 22.9053, 29.2919, 41.4217, 65.3961, 113.314", \ + "18.5483, 20.4674, 23.9628, 30.3532, 42.4797, 66.4551, 114.373", \ + "20.3466, 22.2659, 25.7538, 32.1351, 44.258, 68.2283, 116.145", \ + "23.2653, 25.1738, 28.6614, 35.0483, 47.1805, 71.1487, 119.063", \ + "27.8023, 29.7127, 33.1873, 39.5707, 51.7007, 75.729, 123.618", \ + "34.7838, 36.6636, 40.1251, 46.5048, 58.6366, 82.6084, 130.535", \ + "45.5514, 47.4669, 50.9149, 57.2789, 69.2977, 93.168, 141.054" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.63657, 11.8951, 18.4455, 31.7546, 58.9863, 114.275, 225.794", \ + "8.64638, 11.9017, 18.4502, 31.7601, 58.9873, 114.275, 225.793", \ + "8.71718, 11.9643, 18.5035, 31.7916, 59.0063, 114.27, 225.772", \ + "8.81767, 12.0899, 18.6141, 31.8497, 59.0441, 114.304, 225.802", \ + "9.08365, 12.3087, 18.8203, 32.0256, 59.236, 114.401, 225.855", \ + "9.6473, 12.7924, 19.3543, 32.2999, 59.339, 115.245, 225.906", \ + "10.7917, 13.7926, 19.9925, 32.8602, 59.8173, 115.185, 228.221" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "23.5234, 25.5175, 28.9657, 35.0921, 46.3566, 68.2699, 111.972", \ + "24.5934, 26.5829, 30.0321, 36.1576, 47.4231, 69.3343, 113.026", \ + "26.3106, 28.2998, 31.7476, 37.8756, 49.1383, 71.0518, 114.743", \ + "29.2315, 31.2214, 34.6691, 40.7866, 52.0509, 73.9591, 117.662", \ + "33.7531, 35.7377, 39.178, 45.2968, 56.5499, 78.4772, 122.164", \ + "40.8097, 42.7831, 46.206, 52.2999, 63.5486, 85.4691, 129.148", \ + "51.9563, 53.9043, 57.3, 63.3691, 74.6055, 96.5078, 140.193" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.07565, 11.8859, 17.5817, 29.0552, 52.5708, 100.616, 198.038", \ + "9.0732, 11.8843, 17.5806, 29.0566, 52.57, 100.616, 198.041", \ + "9.06833, 11.8771, 17.5756, 29.0473, 52.568, 100.615, 198.031", \ + "9.0557, 11.8562, 17.5562, 29.041, 52.5612, 100.615, 198.038", \ + "8.99555, 11.8073, 17.5133, 29.2346, 52.5538, 100.599, 198.052", \ + "8.9285, 11.7637, 17.4346, 28.9298, 52.5903, 101.44, 198.038", \ + "8.8835, 11.6749, 17.3564, 28.8518, 52.5623, 100.945, 201.053" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.0172, 15.9348, 19.4168, 25.7897, 37.9048, 61.8752, 109.796", \ + "14.3222, 16.2291, 19.6992, 26.0557, 38.155, 62.1208, 110.034", \ + "14.885, 16.8071, 20.2784, 26.6313, 38.7332, 62.6891, 110.597", \ + "15.9235, 17.8335, 21.3062, 27.7076, 39.8572, 63.778, 111.664", \ + "17.1473, 19.1579, 22.6719, 29.1954, 41.3527, 65.3483, 113.182", \ + "17.7528, 20.0469, 23.8506, 30.568, 43.0997, 67.3623, 115.649", \ + "16.1723, 18.7199, 23.0045, 30.2213, 43.3319, 68.5349, 117.413" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.8256, 12.0377, 18.5396, 31.8039, 58.9961, 114.273, 225.799", \ + "8.86263, 12.0741, 18.5821, 31.8338, 59.011, 114.28, 225.801", \ + "9.18403, 12.3581, 18.7932, 31.9673, 59.0812, 114.315, 225.804", \ + "9.9324, 13.0433, 19.542, 32.4373, 59.3905, 114.441, 225.852", \ + "11.8329, 14.8292, 21.0598, 33.7251, 60.597, 115.034, 226.1", \ + "15.5679, 18.3788, 24.3802, 37.1638, 63.036, 117.853, 227.721", \ + "21.8789, 24.701, 30.5695, 42.9494, 69.0321, 122.32, 232.852" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.2186, 17.2274, 20.7169, 26.8308, 38.0893, 59.9969, 103.69", \ + "15.7979, 17.7967, 21.2755, 27.3809, 38.6303, 60.5317, 104.22", \ + "17.1663, 19.1627, 22.6386, 28.7344, 39.9784, 61.8677, 105.548", \ + "19.8263, 21.8631, 25.4507, 31.5257, 42.7817, 64.6657, 108.344", \ + "24.7832, 26.9269, 30.5661, 36.8482, 48.1559, 70.0449, 113.694", \ + "32.8928, 35.2312, 39.2805, 45.9647, 57.693, 79.8608, 123.474", \ + "46.0969, 48.8844, 53.375, 60.8216, 73.4939, 96.8362, 141.331" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.15783, 11.9565, 17.6204, 29.0832, 52.5683, 100.598, 198.032", \ + "9.19484, 12, 17.6575, 29.11, 52.5836, 100.604, 198.029", \ + "9.54901, 12.3097, 17.8916, 29.2739, 52.6574, 100.635, 198.04", \ + "10.4217, 13.1651, 18.6998, 29.837, 53.0155, 100.813, 198.1", \ + "12.6869, 15.2696, 20.5404, 31.494, 54.1258, 101.386, 198.336", \ + "16.9702, 19.5418, 24.663, 35.1597, 57.3229, 103.728, 199.427", \ + "23.9845, 26.6213, 31.7613, 42.0825, 64.005, 109.502, 204.027" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.03836, 1.01165, 0.993319, 0.983006, 0.976774, 0.972911, 0.97066", \ + "1.04874, 1.02188, 1.00375, 0.993039, 0.987135, 0.98348, 0.981153", \ + "1.08993, 1.06224, 1.04218, 1.03033, 1.02302, 1.01873, 1.01605", \ + "1.18918, 1.15967, 1.14029, 1.12481, 1.11774, 1.11291, 1.11012", \ + "1.41186, 1.38087, 1.35706, 1.33731, 1.33716, 1.32899, 1.32183", \ + "1.87138, 1.83138, 1.80581, 1.77735, 1.76405, 1.81223, 1.75412", \ + "2.79316, 2.74055, 2.69093, 2.65312, 2.63421, 2.6783, 2.75015" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.18659, 1.14688, 1.11263, 1.09135, 1.07864, 1.07076, 1.06637", \ + "1.19768, 1.15697, 1.12308, 1.10178, 1.08898, 1.08137, 1.07706", \ + "1.23332, 1.1928, 1.15851, 1.13743, 1.12504, 1.11723, 1.11282", \ + "1.32072, 1.27963, 1.24627, 1.22562, 1.21295, 1.20557, 1.20133", \ + "1.51253, 1.4737, 1.43833, 1.41753, 1.40424, 1.39702, 1.39384", \ + "1.90071, 1.86234, 1.83012, 1.80916, 1.7969, 1.78963, 1.78505", \ + "2.68684, 2.647, 2.61489, 2.59482, 2.58272, 2.57529, 2.57155" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.894366, 0.867623, 0.849271, 0.838964, 0.832749, 0.828952, 0.826793", \ + "0.905344, 0.878434, 0.860271, 0.849539, 0.843649, 0.84002, 0.837795", \ + "0.947983, 0.920404, 0.900718, 0.889323, 0.882896, 0.878916, 0.876398", \ + "1.0457, 1.01625, 0.99445, 0.982498, 0.975058, 0.970908, 0.968272", \ + "1.26924, 1.23654, 1.20979, 1.19286, 1.1832, 1.17938, 1.17615", \ + "1.72727, 1.68787, 1.65493, 1.62994, 1.6163, 1.60938, 1.60342", \ + "2.64932, 2.59705, 2.54767, 2.51046, 2.48453, 2.47581, 2.46755" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.00597, 0.96628, 0.93203, 0.910787, 0.898083, 0.890091, 0.885564", \ + "1.01775, 0.977038, 0.943118, 0.921802, 0.909009, 0.901302, 0.896848", \ + "1.05337, 1.01275, 0.978429, 0.957338, 0.944995, 0.937107, 0.932596", \ + "1.14092, 1.10047, 1.06688, 1.04444, 1.03208, 1.02409, 1.01966", \ + "1.33277, 1.29449, 1.26408, 1.25034, 1.22795, 1.21842, 1.21216", \ + "1.72124, 1.68293, 1.65269, 1.63463, 1.64889, 1.68649, 1.61069", \ + "2.50716, 2.46709, 2.43492, 2.41579, 2.42353, 2.43256, 2.59498" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.648184, 0.61892, 0.598083, 0.585274, 0.577784, 0.573216, 0.570244", \ + "0.655021, 0.625355, 0.600548, 0.585186, 0.57545, 0.569971, 0.566701", \ + "0.694096, 0.660363, 0.633222, 0.614392, 0.602743, 0.596218, 0.591947", \ + "0.811929, 0.768359, 0.73715, 0.706003, 0.687947, 0.675002, 0.668534", \ + "1.11586, 1.05773, 0.999275, 0.951787, 0.93889, 0.898221, 0.880149", \ + "1.77381, 1.69959, 1.60195, 1.52407, 1.44899, 1.43284, 1.41165", \ + "3.11696, 3.0165, 2.8872, 2.73293, 2.59427, 2.47044, 2.44146" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.867168, 0.826423, 0.792966, 0.771535, 0.758137, 0.749463, 0.744197", \ + "0.875657, 0.834633, 0.800152, 0.778142, 0.764282, 0.755727, 0.750508", \ + "0.920458, 0.87611, 0.836863, 0.811478, 0.795546, 0.785963, 0.779787", \ + "1.05598, 1.00137, 0.950644, 0.915555, 0.893258, 0.880238, 0.870227", \ + "1.39484, 1.32647, 1.25069, 1.18878, 1.14505, 1.11904, 1.10279", \ + "2.11747, 2.02799, 1.91412, 1.80638, 1.72122, 1.65909, 1.61958", \ + "3.5412, 3.43209, 3.27728, 3.09476, 2.93187, 2.80144, 2.70847" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.855187, 0.82591, 0.805002, 0.792109, 0.784561, 0.779997, 0.776977", \ + "0.863259, 0.832534, 0.81248, 0.79845, 0.790482, 0.785469, 0.782484", \ + "0.899903, 0.86653, 0.83998, 0.824111, 0.81388, 0.806338, 0.804412", \ + "1.01818, 0.976851, 0.940877, 0.912962, 0.896762, 0.885821, 0.880368", \ + "1.32239, 1.26539, 1.20767, 1.1577, 1.12248, 1.09967, 1.08628", \ + "1.98283, 1.90545, 1.81062, 1.72458, 1.65061, 1.59639, 1.56076", \ + "3.3248, 3.22546, 3.09454, 2.94237, 2.79657, 2.67659, 2.58547" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.660875, 0.620156, 0.58676, 0.565404, 0.552022, 0.543306, 0.537956", \ + "0.668061, 0.625958, 0.590318, 0.567386, 0.553488, 0.544746, 0.539343", \ + "0.714117, 0.668119, 0.628703, 0.60107, 0.585205, 0.573987, 0.568086", \ + "0.84938, 0.795894, 0.750569, 0.706791, 0.682048, 0.663865, 0.656938", \ + "1.18776, 1.11864, 1.04422, 0.987422, 0.935482, 0.905918, 0.888722", \ + "1.90996, 1.81733, 1.70504, 1.59964, 1.51863, 1.45533, 1.40673", \ + "3.33387, 3.2256, 3.06653, 2.88206, 2.71958, 2.60322, 2.50623" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.563072; + rise_capacitance : 0.5576; + rise_capacitance_range (0.475058, 0.5576); + fall_capacitance : 0.563072; + fall_capacitance_range (0.462677, 0.563072); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.249862, 0.258644, 0.287184, 0.361887, 0.533844, 0.902831, 1.65287" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.408554, 0.419652, 0.455917, 0.542803, 0.73508, 1.1318, 1.92881" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.400517, 0.40952, 0.437829, 0.51314, 0.684391, 1.05383, 1.80389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.260194, 0.270896, 0.307576, 0.394312, 0.586637, 0.983011, 1.7798" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.236574, 0.245055, 0.274426, 0.351046, 0.525208, 0.893438, 1.64679" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.432037, 0.442612, 0.477614, 0.564626, 0.755289, 1.14998, 1.94566" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.39517, 0.40377, 0.432762, 0.509485, 0.684013, 1.05221, 1.80557" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.269073, 0.279699, 0.315204, 0.401219, 0.592145, 0.986815, 1.78248" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.694413; + rise_capacitance : 0.694413; + rise_capacitance_range (0.566498, 0.694413); + fall_capacitance : 0.691418; + fall_capacitance_range (0.571394, 0.691418); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.42242, -1.43237, -1.46586, -4.51416, -6.04493, -7.83536, -14.8962", \ + "-1.52315, -1.5331, -1.56659, -1.68795, -6.14566, -7.93609, -10.9995", \ + "-1.70218, -1.71213, -1.74562, -1.86697, -6.32469, -8.11512, -11.1785", \ + "-4.93652, -1.98047, -2.01396, -5, -2.59553, -8.38345, -14.3164", \ + "-4.71035, -0.722794, -0.756284, -4.87514, -5.33535, -7.12578, -14.1867", \ + "-1.06846, -1.07841, -1.1119, -1.23326, -1.69347, -7.4814, -14.5423", \ + "6.83319, 6.82324, 6.78975, 3.78906, 2.21068, 0.420251, -10.6381" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.71918, 4.1671, 5.02536, 3.68408, 6.71636, 6.96608, 7.46552", \ + "3.38648, 3.83441, 4.69266, 6.2588, 6.38366, 6.63338, 7.13283", \ + "-1.26127, 3.18415, 4.04241, 5.60855, 5.73341, 5.98313, 6.48257", \ + "-1.4502, 1.9442, 2.80246, 1.52344, 4.49345, 4.74318, 2.38282", \ + "-4.73891, -0.293489, 0.564766, 2.1309, 2.25576, 2.50549, 3.00493", \ + "-8.24544, -3.80001, -2.94176, -1.37562, -1.25076, 2.99646, -0.501593", \ + "-11.3831, -10.9351, -10.0769, -7.39258, -4.38839, -0.141164, 0.358281" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.42139, 9.11745, 8.5713, 8.79639, 14.9691, 21.557, 38.4415", \ + "9.52263, 9.2187, 8.67254, 11.8246, 15.0704, 21.6582, 38.5428", \ + "9.71996, 9.41603, 8.86987, 12.0219, 11.2702, 21.8555, 34.7426", \ + "11.1255, 9.79006, 9.24391, 9.53125, 11.6443, 18.2321, 32.875", \ + "14.7571, 14.4531, 13.907, 13.0615, 12.3098, 18.8976, 31.7847", \ + "15.7581, 15.4542, 14.908, 14.0626, 13.3109, 19.8987, 32.7858", \ + "12.4426, 12.1387, 11.5925, 11.8652, 13.9929, 16.5832, 29.4703" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.15527, 3.44584, 2.08367, 0.676269, -0.872106, -1.78868, 0.375668", \ + "4.84166, 4.13222, 2.77006, 4.27006, -0.185722, -1.1023, 1.06205", \ + "6.19263, 5.48319, 4.12103, 5.62104, 1.16525, 0.248672, 2.41302", \ + "9.8584, 8.09794, 6.73577, 5.39062, 3.77999, 2.86342, 2.16796", \ + "13.6881, 12.9787, 11.6165, 13.1165, 8.66071, 7.74414, 9.90849", \ + "22.0544, 21.345, 19.9828, 17.4854, 17.0271, 16.1105, 14.2773", \ + "33.2068, 32.4974, 31.1352, 29.7559, 28.1794, 27.2628, 25.4297" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0601177, -0.0609375, -0.0616737, -0.061976, -0.0623325, -0.0626387, -0.0626877" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0745539, 0.0746675, 0.0743946, 0.0749276, 0.0744235, 0.0743418, 0.0742497" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.111786, 0.110189, 0.109819, 0.10956, 0.109446, 0.108363, 0.107293" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.097447, -0.0975732, -0.0975413, -0.0974049, -0.0968328, -0.0970038, -0.0962866" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (DLLx3_ASAP7_75t_SL) { + area : 0.24786; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 18470; + when : "(CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18850.9; + when : "(CLK * D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20671.8; + when : "(CLK * !D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16299.3; + when : "(CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19820.1; + when : "(!CLK * D * Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17920.4; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !Q)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18672.1; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (Q) { + direction : output; + function : "IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.0941, 20.5472, 23.1117, 27.7411, 36.2397, 52.5603, 84.9625", \ + "20.163, 21.6103, 24.1677, 28.7915, 37.2981, 53.6191, 86.0211", \ + "21.9929, 23.44, 25.9969, 30.6215, 39.108, 55.4242, 87.8232", \ + "24.955, 26.4019, 28.9557, 33.5734, 42.0718, 58.3845, 90.7825", \ + "29.6327, 31.0761, 33.6208, 38.2309, 46.7194, 63.082, 95.481", \ + "36.8183, 38.2618, 40.7985, 45.3881, 53.8573, 70.149, 102.521", \ + "47.9709, 49.4363, 51.9806, 56.5447, 64.9865, 81.2419, 113.585" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.92195, 10.9566, 15.2847, 24.191, 42.4818, 79.9817, 156.114", \ + "8.93028, 10.9591, 15.2884, 24.1958, 42.4836, 79.9824, 156.114", \ + "8.99464, 11.0124, 15.337, 24.2315, 42.5096, 79.9934, 156.118", \ + "9.08337, 11.108, 15.4627, 24.2947, 42.5508, 80.0204, 156.134", \ + "9.35842, 11.4185, 15.655, 24.4973, 42.8806, 80.1498, 156.223", \ + "9.9688, 11.9315, 16.2263, 24.8652, 42.9554, 80.4878, 156.969", \ + "11.1864, 13.0564, 17.0777, 25.6066, 43.4413, 80.531, 157.768" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "25.0253, 26.5629, 29.1858, 33.7446, 41.7644, 56.6657, 85.8131", \ + "26.0844, 27.6261, 30.2505, 34.8072, 42.8279, 57.7341, 86.8785", \ + "27.8046, 29.3415, 31.9672, 36.5239, 44.5485, 59.4369, 88.6103", \ + "30.7125, 32.2507, 34.8695, 39.4197, 47.4321, 62.3315, 91.4907", \ + "35.2393, 36.7719, 39.3946, 43.9468, 51.9654, 66.8905, 96.0169", \ + "42.2882, 43.8178, 46.4296, 50.9629, 58.9565, 73.8385, 102.999", \ + "53.4897, 55.0093, 57.6035, 62.1087, 70.0771, 84.9559, 114.093" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.60268, 11.3499, 14.9852, 22.446, 37.6756, 68.9796, 132.924", \ + "9.60142, 11.3493, 14.9804, 22.4455, 37.6686, 68.9753, 132.925", \ + "9.59683, 11.3431, 14.9746, 22.4427, 37.679, 68.9583, 132.93", \ + "9.58592, 11.3476, 14.9608, 22.4271, 37.6658, 68.9713, 132.923", \ + "9.51929, 11.2703, 14.9126, 22.4411, 37.6811, 68.9751, 132.915", \ + "9.4576, 11.2575, 14.8484, 22.3148, 37.5714, 68.9123, 132.969", \ + "9.4077, 11.1487, 14.771, 22.2319, 37.483, 68.9771, 132.874" \ + ); + } + } + timing () { + related_pin : "D"; + timing_sense : positive_unate; + timing_type : combinational; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.5325, 16.9869, 19.5444, 24.167, 32.6558, 48.9706, 81.3631", \ + "15.8293, 17.2778, 19.8305, 24.4321, 32.9054, 49.2178, 81.613", \ + "16.488, 17.9455, 20.4904, 25.0881, 33.5498, 49.8506, 82.2315", \ + "17.6372, 19.0764, 21.6319, 26.2229, 34.7022, 50.9707, 83.3255", \ + "19.2331, 20.778, 23.3979, 28.0241, 36.5399, 52.8222, 85.2434", \ + "20.3666, 21.9995, 24.9097, 29.7213, 38.5636, 55.0892, 87.6227", \ + "19.4783, 21.415, 24.6587, 30.0337, 39.2694, 56.4707, 89.8722" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.98795, 11.0187, 15.3362, 24.2285, 42.494, 79.9794, 156.114", \ + "8.99966, 11.0439, 15.3729, 24.2642, 42.5283, 79.9912, 156.117", \ + "9.28345, 11.2909, 15.5972, 24.4101, 42.6166, 80.0355, 156.13", \ + "10.0075, 12.0083, 16.2114, 25.0448, 42.9815, 80.2517, 156.238", \ + "12.0204, 13.9079, 17.9568, 26.4818, 44.3539, 80.9873, 156.684", \ + "15.9943, 17.7952, 21.6117, 29.9134, 47.1902, 83.9026, 158.434", \ + "22.8945, 24.5893, 28.2877, 36.2459, 53.5038, 89.8778, 163.288" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "16.7257, 18.2687, 20.9368, 25.5002, 33.507, 48.3984, 77.5437", \ + "17.3018, 18.841, 21.5039, 26.0576, 34.0623, 48.946, 78.0988", \ + "18.6957, 20.2409, 22.8891, 27.425, 35.4135, 50.2794, 79.4199", \ + "21.4306, 23.0504, 25.7078, 30.2468, 38.299, 53.1213, 82.3531", \ + "26.6779, 28.3013, 31.0856, 35.7169, 43.797, 58.6833, 87.7892", \ + "35.3119, 37.0792, 40.1406, 45.1791, 53.6615, 68.8702, 98.0333", \ + "49.2114, 51.225, 54.632, 60.3234, 69.6207, 85.7952, 115.998" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.67228, 11.4357, 15.0353, 22.4783, 37.7005, 68.9471, 132.923", \ + "9.68279, 11.4621, 15.0596, 22.4955, 37.7146, 68.9573, 132.914", \ + "9.96975, 11.7397, 15.285, 22.6646, 37.8154, 69.0128, 132.933", \ + "10.9374, 12.5899, 16.1001, 23.3651, 38.2991, 69.2837, 133.056", \ + "13.1799, 14.8224, 18.1654, 25.1352, 39.8312, 70.2076, 133.54", \ + "17.83, 19.4068, 22.7539, 29.2967, 43.3444, 73.1332, 135.678", \ + "25.7817, 27.279, 30.4854, 37.0001, 50.6606, 79.7595, 140.555" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.34258, 1.27701, 1.21062, 1.16336, 1.13437, 1.11668, 1.10582", \ + "1.35367, 1.28784, 1.221, 1.17371, 1.145, 1.12727, 1.11643", \ + "1.39669, 1.32916, 1.26109, 1.21168, 1.18076, 1.16205, 1.15045", \ + "1.49635, 1.42839, 1.36209, 1.30567, 1.27477, 1.25402, 1.24215", \ + "1.72876, 1.65953, 1.58448, 1.52408, 1.50465, 1.4765, 1.46194", \ + "2.20326, 2.12504, 2.042, 1.96702, 1.92517, 1.919, 1.97752", \ + "3.16488, 3.07912, 2.97296, 2.88658, 2.82866, 2.79666, 2.84756" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.595, 1.51574, 1.42047, 1.34547, 1.29891, 1.27109, 1.25427", \ + "1.60496, 1.52593, 1.43137, 1.35587, 1.30934, 1.28134, 1.26452", \ + "1.64052, 1.56122, 1.46725, 1.39157, 1.34498, 1.31728, 1.30002", \ + "1.7273, 1.64836, 1.55348, 1.47869, 1.43302, 1.40513, 1.38843", \ + "1.91871, 1.83724, 1.74523, 1.66909, 1.62321, 1.59615, 1.58008", \ + "2.30597, 2.22603, 2.13399, 2.05969, 2.01519, 1.98795, 1.97166", \ + "3.08972, 3.01178, 2.9197, 2.84665, 2.80224, 2.77545, 2.7593" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.19782, 1.13218, 1.06575, 1.01852, 0.989562, 0.971942, 0.961184", \ + "1.20962, 1.14345, 1.07639, 1.02918, 1.00041, 0.982763, 0.972015", \ + "1.25321, 1.18668, 1.11906, 1.06939, 1.04006, 1.02173, 1.01039", \ + "1.35255, 1.28447, 1.21453, 1.16284, 1.13178, 1.11324, 1.10133", \ + "1.58451, 1.51367, 1.437, 1.37782, 1.3421, 1.32004, 1.30718", \ + "2.05988, 1.98243, 1.89756, 1.8268, 1.77976, 1.75055, 1.73291", \ + "3.01942, 2.93163, 2.82824, 2.73859, 2.6698, 2.62573, 2.59986" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.41491, 1.33565, 1.24041, 1.1654, 1.11893, 1.09096, 1.07397", \ + "1.42552, 1.34647, 1.25189, 1.17636, 1.12979, 1.10179, 1.08478", \ + "1.46141, 1.38207, 1.28813, 1.21253, 1.16599, 1.13829, 1.12115", \ + "1.54885, 1.46874, 1.37476, 1.29909, 1.25086, 1.22242, 1.20553", \ + "1.73943, 1.65801, 1.56755, 1.49754, 1.44717, 1.42429, 1.39826", \ + "2.12668, 2.04957, 1.95616, 1.88149, 1.84424, 1.83146, 1.80746", \ + "2.91051, 2.83249, 2.74054, 2.66758, 2.62444, 2.6277, 2.59513" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.95278, 0.886338, 0.818063, 0.768829, 0.738021, 0.718189, 0.707763", \ + "0.9591, 0.891183, 0.820427, 0.76695, 0.73265, 0.712322, 0.6995", \ + "1.00347, 0.933786, 0.856783, 0.800099, 0.761714, 0.739033, 0.722838", \ + "1.14071, 1.06071, 0.971518, 0.908985, 0.848154, 0.810289, 0.786439", \ + "1.49891, 1.41225, 1.29602, 1.19045, 1.1249, 1.04588, 1.02046", \ + "2.29014, 2.18415, 2.02731, 1.87196, 1.73072, 1.64315, 1.55238", \ + "3.85873, 3.73545, 3.5421, 3.29014, 3.04905, 2.86, 2.67592" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.27805, 1.19736, 1.10421, 1.02856, 0.981755, 0.952381, 0.934253", \ + "1.28437, 1.20767, 1.10997, 1.03367, 0.986767, 0.957185, 0.938196", \ + "1.33364, 1.25169, 1.15085, 1.06977, 1.0186, 0.986557, 0.966781", \ + "1.49027, 1.39738, 1.28606, 1.18731, 1.12105, 1.08052, 1.05544", \ + "1.88649, 1.78414, 1.64275, 1.50709, 1.40324, 1.33499, 1.29128", \ + "2.75272, 2.63149, 2.44937, 2.24226, 2.06036, 1.9288, 1.83998", \ + "4.43321, 4.29918, 4.07719, 3.77754, 3.46909, 3.21333, 3.01983" \ + ); + } + } + internal_power () { + related_pin : "D"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.15827, 1.0915, 1.02434, 0.974395, 0.943198, 0.923235, 0.91321", \ + "1.16854, 1.10313, 1.03539, 0.98407, 0.951069, 0.9305, 0.918047", \ + "1.20979, 1.13887, 1.06718, 1.01054, 0.974305, 0.951691, 0.938522", \ + "1.34664, 1.27043, 1.18249, 1.11537, 1.06395, 1.03275, 1.01399", \ + "1.70482, 1.61588, 1.50348, 1.3989, 1.31608, 1.25709, 1.22213", \ + "2.49594, 2.39098, 2.23703, 2.07732, 1.92682, 1.81012, 1.72662", \ + "4.06521, 3.94175, 3.74897, 3.50039, 3.24462, 3.02201, 2.83888" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.07292, 0.992077, 0.898847, 0.823176, 0.776303, 0.746828, 0.728515", \ + "1.07855, 0.99715, 0.901304, 0.824913, 0.776222, 0.748079, 0.729886", \ + "1.12514, 1.0413, 0.943567, 0.860063, 0.805567, 0.771911, 0.752001", \ + "1.28483, 1.1926, 1.07947, 0.977704, 0.909278, 0.863814, 0.843034", \ + "1.6809, 1.57708, 1.43686, 1.30188, 1.20243, 1.12163, 1.07191", \ + "2.54615, 2.42326, 2.24663, 2.03431, 1.86183, 1.75111, 1.70618", \ + "4.22722, 4.09189, 3.86644, 3.56348, 3.25867, 3.01081, 2.81751" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.563996; + rise_capacitance : 0.558436; + rise_capacitance_range (0.476022, 0.558436); + fall_capacitance : 0.563996; + fall_capacitance_range (0.463205, 0.563996); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "D"; + timing_type : min_pulse_width; + when : "D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~D"; + timing_type : min_pulse_width; + when : "!D"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.251521, 0.259914, 0.288344, 0.363007, 0.53495, 0.904015, 1.65403" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.409904, 0.420826, 0.45699, 0.543514, 0.735699, 1.13259, 1.92964" \ + ); + } + } + internal_power () { + when : "(D * Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.403271, 0.411061, 0.438714, 0.514412, 0.685551, 1.05518, 1.80519" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.261221, 0.271921, 0.308498, 0.395328, 0.587387, 0.983654, 1.78048" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.237751, 0.246138, 0.275417, 0.352415, 0.526044, 0.894181, 1.64725" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.433846, 0.443681, 0.478985, 0.564912, 0.756085, 1.14995, 1.94618" \ + ); + } + } + internal_power () { + when : "(!D * !Q)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.397081, 0.404964, 0.433872, 0.510916, 0.685005, 1.05279, 1.80602" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.270188, 0.280842, 0.31609, 0.401952, 0.592827, 0.987073, 1.78291" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.691332; + rise_capacitance : 0.691332; + rise_capacitance_range (0.566571, 0.691332); + fall_capacitance : 0.68972; + fall_capacitance_range (0.571462, 0.68972); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.29156, -2.24845, -2.18214, -5.03662, -6.33959, -8.04034, -16.5417", \ + "-2.36856, -2.32544, -2.25914, -6.2037, -6.41659, -8.11733, -16.6187", \ + "-2.50502, -2.4619, -2.3956, -6.34017, -6.55305, -8.25379, -16.7552", \ + "-5.6543, -2.66471, -2.5984, -5.39062, -6.75585, -8.4566, -15.8203", \ + "-5.70853, -5.66542, -5.59911, -5.54618, -5.75906, -7.45981, -15.9612", \ + "-1.96129, -1.91817, -1.85186, -5.79643, -6.00932, -7.71006, -16.2115", \ + "4.26971, 4.31283, 0.381634, 1.55273, 0.221681, -5.47656, -13.978" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.744629, 3.72434, 4.62952, 3.42041, 6.58522, 7.17813, 4.36646", \ + "-1.41467, 3.0543, 3.95948, 5.61872, 5.91518, 6.50809, 3.69642", \ + "-2.71337, 1.7556, 2.66077, 4.32001, 4.61647, 5.20939, 2.39772", \ + "-4.07471, -0.67629, 0.228882, -0.9375, 2.18458, 2.7775, 1.11329", \ + "-5.34947, -4.878, -3.97283, -2.31359, 1.98037, 2.57329, -0.238385", \ + "-11.1046, -6.63564, -5.73047, -4.07123, -3.77477, -3.18186, -1.99603", \ + "-16.0193, -15.5478, -14.6426, -11.8652, -8.68945, -4.09903, -6.9107" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3462, 12.0168, 11.4218, 11.5771, 13.7223, 24.0177, 45.126", \ + "12.4434, 12.114, 11.519, 10.5842, 13.8194, 24.1149, 41.2257", \ + "12.6356, 12.3062, 11.7112, 10.7764, 14.0117, 24.3071, 41.4179", \ + "14.0625, 12.6821, 12.0871, 12.3047, 14.3876, 20.6855, 35.5742", \ + "17.7266, 17.3972, 16.8022, 15.8675, 15.1052, 21.4031, 34.5165", \ + "19.0253, 18.6959, 18.1009, 17.1662, 16.4039, 22.7018, 35.8152", \ + "17.0789, 16.7495, 16.1545, 16.3379, 18.4549, 20.7553, 33.8687" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.65082, 8.27861, 7.55955, 3.35449, 3.95506, 0.289653, 1.70623", \ + "9.68824, 9.31603, 8.59697, 7.26026, 4.99247, 1.32707, 2.74365", \ + "11.7135, 11.3413, 10.6223, 9.28557, 7.01778, 3.35238, 4.76896", \ + "12.6392, 11.1964, 10.4773, 10.3125, 6.87284, 7.20494, 5.77148", \ + "18.4814, 18.1092, 17.3902, 16.0535, 13.7857, 14.1178, 11.5369", \ + "25.1405, 24.7683, 24.0492, 22.7125, 20.4447, 20.7768, 22.1934", \ + "41.7722, 37.4025, 36.6834, 36.4649, 33.0789, 33.411, 34.8276" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0591416, -0.0599328, -0.0606226, -0.060674, -0.0614646, -0.0615342, -0.0616893" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0755418, 0.0756602, 0.0753376, 0.0755257, 0.0749844, 0.0753314, 0.0752394" \ + ); + } + } + internal_power () { + when : "CLK"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112782, 0.111097, 0.110537, 0.109652, 0.110581, 0.109393, 0.108269" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0964808, -0.0966025, -0.0965313, -0.0962786, -0.0952974, -0.0960347, -0.0953177" \ + ); + } + } + } + latch (IQ,IQN) { + data_in : "D"; + enable : "!CLK"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (ICGx1_ASAP7_75t_SL) { + area : 0.26244; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 18410; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17981.7; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19869.8; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19441.6; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19758.7; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19330.5; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20798.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25111.7; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19566.7; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21027.1; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20915.9; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18197.6; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20034.1; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.02548, 8.50885, 11.3005, 16.7529, 27.6064, 49.2931, 92.6457", \ + "7.82279, 9.35372, 12.1648, 17.6197, 28.4789, 50.1548, 93.5138", \ + "9.16771, 10.6909, 13.5422, 19.0461, 29.8969, 51.5735, 94.9251", \ + "11.3186, 12.9258, 15.833, 21.3681, 32.2724, 53.9772, 97.3084", \ + "14.7348, 16.4658, 19.503, 25.2178, 36.3358, 58.0897, 101.424", \ + "20.1493, 22.0309, 25.3722, 31.3729, 42.8056, 65.1255, 108.556", \ + "28.9174, 31.1161, 34.7957, 41.2742, 53.4386, 76.7855, 121.447" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "4.85844, 7.68991, 13.5061, 25.3218, 49.1671, 96.9892, 192.696", \ + "5.13404, 7.90557, 13.6526, 25.3998, 49.1782, 96.9832, 192.694", \ + "5.51196, 8.27009, 13.9222, 25.5775, 49.2851, 97.0226, 192.684", \ + "6.36708, 8.98351, 14.4923, 26.1175, 49.5196, 97.1514, 192.701", \ + "7.75275, 10.331, 15.765, 27.0558, 50.7016, 97.6749, 192.943", \ + "10.1703, 12.7011, 17.9807, 29.1858, 52.4035, 99.7826, 194.029", \ + "14.3224, 16.7836, 22.0179, 33.0547, 56.1985, 103.263, 197.778" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.07001, 8.56684, 11.3745, 16.8505, 27.7206, 49.4017, 92.747", \ + "7.87844, 9.41188, 12.2231, 17.6978, 28.5708, 50.2502, 93.5904", \ + "9.25284, 10.812, 13.6611, 19.1474, 30.0152, 51.695, 95.039", \ + "11.4687, 13.0947, 15.9672, 21.4557, 32.3516, 54.0141, 97.3914", \ + "15.0089, 16.7817, 19.6986, 25.2009, 36.0288, 57.7737, 101.07", \ + "20.6266, 22.452, 25.462, 30.863, 41.577, 63.0974, 106.416", \ + "29.4646, 31.3289, 34.1779, 39.4912, 50.0091, 71.4014, 114.562" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "4.89612, 7.72838, 13.5565, 25.365, 49.1936, 96.9835, 192.639", \ + "5.19373, 7.95037, 13.7035, 25.434, 49.2002, 96.9872, 192.639", \ + "5.61163, 8.32897, 13.9526, 25.5876, 49.2711, 96.997, 192.632", \ + "6.56024, 9.11051, 14.5512, 26.0074, 49.4556, 97.0664, 192.669", \ + "8.09948, 10.4888, 15.6222, 26.8123, 50.5173, 97.2612, 192.74", \ + "10.3795, 12.5823, 17.2488, 27.6726, 50.1926, 97.2338, 192.875", \ + "13.2545, 15.1758, 19.4028, 29.0732, 50.6871, 97.548, 193.618" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.097, 8.74697, 11.8499, 17.8927, 29.898, 53.8604, 101.742", \ + "7.45553, 9.16982, 12.3152, 18.3644, 30.3712, 54.3306, 102.22", \ + "7.97159, 9.62155, 12.7882, 18.9419, 30.9725, 54.9223, 102.81", \ + "8.63909, 10.3081, 13.4951, 19.6632, 31.7723, 55.7642, 103.649", \ + "9.17843, 10.905, 14.1296, 20.369, 32.5885, 56.6738, 104.723", \ + "8.92683, 10.7484, 14.0306, 20.4926, 33.1189, 57.6423, 105.741", \ + "6.61787, 8.66688, 12.217, 18.8395, 31.8744, 57.3553, 106.566" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "5.7759, 9.09131, 15.8819, 29.672, 57.4811, 113.261, 224.918", \ + "6.05481, 9.33761, 16.0779, 29.8006, 57.5153, 113.257, 224.908", \ + "6.21819, 9.57646, 16.3299, 29.9968, 57.7024, 113.341, 224.908", \ + "6.84335, 10.0214, 16.7199, 30.4897, 57.9412, 113.534, 225.028", \ + "7.9457, 11.1077, 17.6997, 31.4166, 59.0494, 114.093, 225.41", \ + "9.989, 13.0773, 19.4695, 33.0457, 60.4381, 116.042, 226.476", \ + "13.7784, 16.6772, 22.9225, 36.3621, 64.1519, 119.715, 231.069" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "7.02548, 8.50885, 11.3005, 16.7529, 27.6064, 49.2931, 92.6457", \ + "7.82279, 9.35372, 12.1648, 17.6197, 28.4789, 50.1548, 93.5138", \ + "9.16771, 10.6909, 13.5422, 19.0461, 29.8969, 51.5735, 94.9251", \ + "11.3186, 12.9258, 15.833, 21.3681, 32.2724, 53.9772, 97.3084", \ + "14.7348, 16.4658, 19.503, 25.2178, 36.3358, 58.0897, 101.424", \ + "20.1493, 22.0309, 25.3722, 31.3729, 42.8056, 65.1255, 108.556", \ + "28.9174, 31.1161, 34.7957, 41.2742, 53.4386, 76.7855, 121.447" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "4.85844, 7.68991, 13.5061, 25.3218, 49.1671, 96.9892, 192.696", \ + "5.13404, 7.90557, 13.6526, 25.3998, 49.1782, 96.9832, 192.694", \ + "5.51196, 8.27009, 13.9222, 25.5775, 49.2851, 97.0226, 192.684", \ + "6.36708, 8.98351, 14.4923, 26.1175, 49.5196, 97.1514, 192.701", \ + "7.75275, 10.331, 15.765, 27.0558, 50.7016, 97.6749, 192.943", \ + "10.1703, 12.7011, 17.9807, 29.1858, 52.4035, 99.7826, 194.029", \ + "14.3224, 16.7836, 22.0179, 33.0547, 56.1985, 103.263, 197.778" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.803249, 0.806455, 0.809522, 0.811422, 0.812212, 0.812615, 0.812886", \ + "0.848339, 0.850688, 0.853365, 0.855594, 0.856727, 0.858058, 0.85972", \ + "0.980403, 0.980345, 0.981673, 0.983956, 0.985802, 0.987883, 0.988539", \ + "1.28963, 1.28301, 1.28249, 1.28089, 1.28116, 1.28247, 1.28312", \ + "1.94019, 1.92508, 1.91551, 1.90821, 1.90541, 1.902, 1.90555", \ + "3.26077, 3.23532, 3.21213, 3.18972, 3.17886, 3.17152, 3.16867", \ + "5.91702, 5.88042, 5.83792, 5.79337, 5.75827, 5.73555, 5.72593" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.0386096, 0.0412736, 0.0441851, 0.0460895, 0.0468175, 0.0472379, 0.0474122", \ + "0.0869796, 0.0883395, 0.0912841, 0.0931977, 0.0936065, 0.0936073, 0.0934682", \ + "0.220207, 0.219841, 0.22119, 0.222324, 0.221987, 0.221986, 0.221916", \ + "0.523812, 0.521153, 0.518625, 0.522305, 0.517917, 0.518439, 0.517345", \ + "1.17162, 1.15879, 1.14868, 1.14317, 1.15479, 1.14609, 1.13897", \ + "2.49287, 2.46988, 2.44722, 2.42723, 2.41269, 2.42342, 2.40114", \ + "5.14983, 5.11201, 5.06936, 5.02629, 4.99553, 4.97151, 4.96307" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.10568, 1.10868, 1.11136, 1.11303, 1.11361, 1.11401, 1.11429", \ + "1.15233, 1.15507, 1.15783, 1.16023, 1.16046, 1.16266, 1.16225", \ + "1.29037, 1.28954, 1.2906, 1.29323, 1.29386, 1.29481, 1.29511", \ + "1.6167, 1.60976, 1.60793, 1.60555, 1.60616, 1.60629, 1.60736", \ + "2.21906, 2.20746, 2.19549, 2.18619, 2.18116, 2.17912, 2.18005", \ + "3.28489, 3.26361, 3.24257, 3.22181, 3.20998, 3.1997, 3.19516", \ + "5.27314, 5.24293, 5.20987, 5.17623, 5.14793, 5.12725, 5.11611" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.463104, 0.466094, 0.468705, 0.470341, 0.470916, 0.471308, 0.471545", \ + "0.512137, 0.513231, 0.515902, 0.516387, 0.517736, 0.517496, 0.518294", \ + "0.652537, 0.652802, 0.653731, 0.653134, 0.654137, 0.654883, 0.654872", \ + "0.975378, 0.968915, 0.965332, 0.963019, 0.962869, 0.960685, 0.96369", \ + "1.57589, 1.5654, 1.54809, 1.54876, 1.56345, 1.54641, 1.53652", \ + "2.6411, 2.62048, 2.60036, 2.57667, 2.57224, 2.55803, 2.56182", \ + "4.62903, 4.59753, 4.56343, 4.52788, 4.50157, 4.51265, 4.51678" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.59222; + rise_capacitance : 2.52004; + rise_capacitance_range (1.79197, 2.52004); + fall_capacitance : 2.59222; + fall_capacitance_range (1.91477, 2.59222); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.949658, 0.972816, 1.04369, 1.2421, 1.75363, 2.94171, 5.49555" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.291772, 0.316555, 0.388824, 0.5835, 1.09618, 2.28062, 4.83487" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.174739, -0.158663, -0.111705, -0.000807675, 0.241449, 0.743618, 1.76192" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46272, 0.485489, 0.54325, 0.670308, 0.933645, 1.46845, 2.53888" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.370297, 0.385281, 0.432558, 0.544963, 0.788925, 1.28912, 2.30786" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0886774, -0.0655986, -0.00580161, 0.117291, 0.380227, 0.913687, 1.98573" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.508231; + rise_capacitance : 0.508231; + rise_capacitance_range (0.438116, 0.508231); + fall_capacitance : 0.50813; + fall_capacitance_range (0.371598, 0.50813); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -0.820311, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.50439, -9.54537, -9.63813, -8.79639, -10.4977, -12.4517, -19.1296", \ + "-10.6355, -10.6765, -6.77177, -10.9981, -11.6288, -13.5828, -20.2608", \ + "-8.85402, -8.89499, -8.98776, -13.2141, -13.8448, -15.7988, -22.4768", \ + "-16.0864, -13.1419, -13.2346, -16.3281, -18.0917, -20.0457, -25.5957", \ + "-20.8542, -20.8951, -20.9879, -21.2167, -21.8475, -23.8014, -30.4794", \ + "-29.4014, -29.4423, -29.5351, -29.7639, -34.3922, -36.3462, -43.0241", \ + "-50.6384, -50.6794, -50.7722, -49.8828, -51.6317, -53.5857, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -0.820311, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.50439, -9.54537, -9.63813, -8.79639, -10.4977, -12.4517, -19.1296", \ + "-10.6355, -10.6765, -6.77177, -10.9981, -11.6288, -13.5828, -20.2608", \ + "-8.85402, -8.89499, -8.98776, -13.2141, -13.8448, -15.7988, -22.4768", \ + "-16.0864, -13.1419, -13.2346, -16.3281, -18.0917, -20.0457, -25.5957", \ + "-20.8542, -20.8951, -20.9879, -21.2167, -21.8475, -23.8014, -30.4794", \ + "-29.4014, -29.4423, -29.5351, -29.7639, -34.3922, -36.3462, -43.0241", \ + "-50.6384, -50.6794, -50.7722, -49.8828, -51.6317, -53.5857, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1399, 21.2469, 23.4147, 24.6582, 31.131, 43.3013, 55.8193", \ + "20.3058, 21.4128, 23.5806, 27.7315, 31.297, 43.4672, 55.9853", \ + "20.6053, 21.7123, 23.8802, 28.0311, 35.594, 43.7668, 60.2823", \ + "19.0625, 22.1821, 24.35, 25.8818, 32.0663, 44.2366, 57.8132", \ + "19.4294, 20.5364, 22.7043, 26.8552, 34.4181, 42.5909, 59.1064", \ + "16.277, 17.384, 19.5518, 23.7028, 31.2657, 39.4384, 55.954", \ + "5.55766, 6.66466, 8.83249, 14.1016, 20.5463, 32.7166, 45.2346" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.2001, 19.3393, 19.6338, 17.3608, 21.8558, 26.032, 38.5446", \ + "20.3823, 20.5214, 20.8159, 21.4699, 23.038, 27.2141, 39.7267", \ + "22.7026, 22.8417, 23.1362, 23.7902, 25.3583, 29.5345, 42.0471", \ + "24.1821, 27.3068, 23.6038, 25.3906, 25.8259, 30.002, 43.6426", \ + "31.3978, 31.537, 31.8315, 32.4855, 34.0535, 38.2297, 46.7448", \ + "45.0433, 45.1825, 45.477, 42.1335, 43.7015, 47.8777, 56.3928", \ + "65.0925, 65.2316, 65.5261, 63.3008, 63.7507, 67.9268, 76.4419" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1399, 21.2469, 23.4147, 24.6582, 31.131, 43.3013, 55.8193", \ + "20.3058, 21.4128, 23.5806, 27.7315, 31.297, 43.4672, 55.9853", \ + "20.6053, 21.7123, 23.8802, 28.0311, 35.594, 43.7668, 60.2823", \ + "19.0625, 22.1821, 24.35, 25.8818, 32.0663, 44.2366, 57.8132", \ + "19.4294, 20.5364, 22.7043, 26.8552, 34.4181, 42.5909, 59.1064", \ + "16.277, 17.384, 19.5518, 23.7028, 31.2657, 39.4384, 55.954", \ + "5.55766, 6.66466, 8.83249, 14.1016, 20.5463, 32.7166, 45.2346" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.2001, 19.3393, 19.6338, 17.3608, 21.8558, 26.032, 38.5446", \ + "20.3823, 20.5214, 20.8159, 21.4699, 23.038, 27.2141, 39.7267", \ + "22.7026, 22.8417, 23.1362, 23.7902, 25.3583, 29.5345, 42.0471", \ + "24.1821, 27.3068, 23.6038, 25.3906, 25.8259, 30.002, 43.6426", \ + "31.3978, 31.537, 31.8315, 32.4855, 34.0535, 38.2297, 46.7448", \ + "45.0433, 45.1825, 45.477, 42.1335, 43.7015, 47.8777, 56.3928", \ + "65.0925, 65.2316, 65.5261, 63.3008, 63.7507, 67.9268, 76.4419" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0047497, 0.00475762, 0.00480579, 0.00486014, 0.00487343, 0.00491283, 0.0049614" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00530197, 0.00525991, 0.00520841, 0.00516267, 0.00511128, 0.00511138, 0.00502626" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0848105, 0.0849126, 0.0849033, 0.0848264, 0.0854152, 0.0849906, 0.0847059" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.071905, -0.0713954, -0.0720568, -0.0722203, -0.0723016, -0.0719404, -0.0720919" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.051513, 0.0571064, 0.0754006, 0.124666, 0.240461, 0.490941, 1.00772" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.253621, 0.260495, 0.284782, 0.344709, 0.476644, 0.752898, 1.31839" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.216454, 0.22212, 0.240094, 0.289162, 0.405308, 0.655886, 1.17256" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0880223, 0.0952403, 0.119152, 0.179422, 0.311238, 0.587148, 1.15251" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.56976, 0.574319, 0.593683, 0.649188, 0.77879, 1.06465, 1.66293" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.664657, 0.671705, 0.699544, 0.766239, 0.916998, 1.23522, 1.89033" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.615853, 0.619911, 0.638658, 0.694814, 0.824551, 1.11048, 1.70918" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.619033, 0.626233, 0.653728, 0.72105, 0.871189, 1.18944, 1.84382" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.510637; + rise_capacitance : 0.506914; + rise_capacitance_range (0.446277, 0.506914); + fall_capacitance : 0.510637; + fall_capacitance_range (0.440426, 0.510637); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.72217, -8.04198, -8.66818, -8.79639, -8.05239, -11.56, -19.1394", \ + "-8.52159, -8.8414, -9.4676, -10.6664, -8.85181, -12.3594, -19.9388", \ + "-10.0822, -10.402, -11.0282, -8.22948, -10.4124, -13.92, -21.4994", \ + "-12.0386, -13.3703, -9.99905, -14.0625, -13.3808, -16.8883, -23.3398", \ + "-14.3781, -14.6979, -15.3241, -16.5229, -18.7059, -22.2134, -25.7954", \ + "-22.582, -22.9018, -23.528, -20.7293, -22.9122, -26.4198, -33.9992", \ + "-33.2019, -33.5217, -34.1479, -34.2285, -33.5321, -37.0397, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.72217, -8.04198, -8.66818, -8.79639, -8.05239, -11.56, -19.1394", \ + "-8.52159, -8.8414, -9.4676, -10.6664, -8.85181, -12.3594, -19.9388", \ + "-10.0822, -10.402, -11.0282, -8.22948, -10.4124, -13.92, -21.4994", \ + "-12.0386, -13.3703, -9.99905, -14.0625, -13.3808, -16.8883, -23.3398", \ + "-14.3781, -14.6979, -15.3241, -16.5229, -18.7059, -22.2134, -25.7954", \ + "-22.582, -22.9018, -23.528, -20.7293, -22.9122, -26.4198, -33.9992", \ + "-33.2019, -33.5217, -34.1479, -34.2285, -33.5321, -37.0397, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.3779, 18.2939, 20.0942, 24.6582, 34.0062, 44.8587, 58.4489", \ + "17.8481, 18.7641, 24.5619, 28.0358, 34.4764, 45.3289, 58.9192", \ + "18.7405, 19.6565, 21.4568, 28.9282, 35.3688, 46.2213, 59.8116", \ + "21.6039, 21.2492, 23.0496, 27.6562, 36.9615, 43.8165, 58.5089", \ + "23.6743, 24.5903, 26.3907, 29.8645, 36.3051, 47.1576, 60.7479", \ + "22.3615, 23.2775, 25.0779, 28.5518, 34.9924, 45.8449, 59.4351", \ + "15.7385, 16.6545, 22.4523, 23.0469, 32.3668, 43.2193, 56.8095" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.4418, 19.5431, 19.7653, 17.3608, 21.6457, 25.6131, 38.5544", \ + "20.2922, 20.3935, 20.6157, 21.1382, 22.4961, 26.4635, 39.4048", \ + "21.9572, 22.0585, 18.2831, 18.8057, 24.1611, 28.1285, 41.0697", \ + "22.1582, 21.2475, 21.4697, 23.125, 23.3501, 31.315, 41.3867", \ + "26.9457, 27.047, 27.2692, 27.7917, 29.1496, 33.117, 46.0583", \ + "36.2504, 36.3517, 36.5738, 37.0963, 38.4542, 38.4242, 47.3679", \ + "49.6799, 49.7812, 50.0033, 47.6465, 47.8862, 51.8537, 60.7974" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.3779, 18.2939, 20.0942, 24.6582, 34.0062, 44.8587, 58.4489", \ + "17.8481, 18.7641, 24.5619, 28.0358, 34.4764, 45.3289, 58.9192", \ + "18.7405, 19.6565, 21.4568, 28.9282, 35.3688, 46.2213, 59.8116", \ + "21.6039, 21.2492, 23.0496, 27.6562, 36.9615, 43.8165, 58.5089", \ + "23.6743, 24.5903, 26.3907, 29.8645, 36.3051, 47.1576, 60.7479", \ + "22.3615, 23.2775, 25.0779, 28.5518, 34.9924, 45.8449, 59.4351", \ + "15.7385, 16.6545, 22.4523, 23.0469, 32.3668, 43.2193, 56.8095" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.4418, 19.5431, 19.7653, 17.3608, 21.6457, 25.6131, 38.5544", \ + "20.2922, 20.3935, 20.6157, 21.1382, 22.4961, 26.4635, 39.4048", \ + "21.9572, 22.0585, 18.2831, 18.8057, 24.1611, 28.1285, 41.0697", \ + "22.1582, 21.2475, 21.4697, 23.125, 23.3501, 31.315, 41.3867", \ + "26.9457, 27.047, 27.2692, 27.7917, 29.1496, 33.117, 46.0583", \ + "36.2504, 36.3517, 36.5738, 37.0963, 38.4542, 38.4242, 47.3679", \ + "49.6799, 49.7812, 50.0033, 47.6465, 47.8862, 51.8537, 60.7974" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0319241, -0.0324107, -0.0331962, -0.0332095, -0.0336661, -0.0334578, -0.0332179" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0461401, 0.0454494, 0.0456869, 0.0457435, 0.0458466, 0.0455923, 0.0455586" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0882017, 0.0872989, 0.0866161, 0.0868622, 0.0862965, 0.0860174, 0.0854351" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0750902, -0.0743006, -0.0742152, -0.0744125, -0.0744476, -0.0743483, -0.0743189" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0465091, 0.05325, 0.0745464, 0.132308, 0.26879, 0.564156, 1.17557" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.290758, 0.295738, 0.321743, 0.390959, 0.543133, 0.865911, 1.52277" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.25867, 0.265667, 0.286921, 0.343917, 0.480555, 0.776156, 1.38667" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0771618, 0.0823134, 0.108249, 0.177004, 0.329186, 0.651802, 1.30876" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564056, 0.571028, 0.594586, 0.659239, 0.814165, 1.15687, 1.87817" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.70193, 0.707389, 0.735797, 0.811708, 0.986132, 1.35655, 2.11778" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.657176, 0.663899, 0.687711, 0.751381, 0.907469, 1.25001, 1.97105" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.608076, 0.613904, 0.64238, 0.718385, 0.893093, 1.26253, 2.02375" \ + ); + } + } + } + } + cell (ICGx2_ASAP7_75t_SL) { + area : 0.27702; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 21245; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21744.2; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22704.8; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23204.1; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22593.7; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23093; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23633.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28874.2; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22401.7; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23862.1; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23751; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21031.5; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23178.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.01522, 9.60706, 12.4917, 18.0192, 28.9651, 50.838, 94.5516", \ + "9.01478, 10.6085, 13.4964, 19.0196, 29.9653, 51.8178, 95.5334", \ + "10.5729, 12.179, 15.1113, 20.6697, 31.6096, 53.4541, 97.1513", \ + "13.1315, 14.791, 17.7658, 23.3461, 34.3136, 56.1786, 99.8468", \ + "17.1157, 18.8872, 21.99, 27.7272, 38.7881, 60.6348, 104.377", \ + "23.3059, 25.2875, 28.6295, 34.5572, 46.0557, 68.2085, 112.012", \ + "33.1556, 35.3974, 39.1482, 45.6623, 57.711, 80.8326, 125.664" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.09717, 7.95701, 13.8268, 25.843, 50.2, 99.2188, 197.412", \ + "5.39003, 8.17103, 13.9657, 25.903, 50.2067, 99.2205, 197.431", \ + "5.87374, 8.60703, 14.2958, 26.1175, 50.3253, 99.2413, 197.419", \ + "6.86511, 9.47285, 15.0382, 26.6357, 50.5805, 99.3753, 197.449", \ + "8.58842, 11.13, 16.5136, 27.7685, 51.5018, 99.8038, 197.676", \ + "11.5419, 13.9209, 19.095, 30.2285, 53.4238, 102.49, 198.652", \ + "16.3835, 18.7689, 23.679, 34.4667, 57.5869, 105.607, 202.117" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.0966, 9.6854, 12.5564, 18.0668, 29.0214, 50.8672, 94.5789", \ + "9.09271, 10.6678, 13.5198, 19.0272, 29.9756, 51.8217, 95.5159", \ + "10.6999, 12.314, 15.1853, 20.6891, 31.6218, 53.4725, 97.1732", \ + "13.342, 14.9644, 17.7802, 23.2717, 34.2395, 56.0957, 99.7667", \ + "17.4168, 19.094, 21.9163, 27.3577, 38.2324, 60.1502, 103.688", \ + "23.454, 25.0534, 27.8937, 33.1542, 43.8938, 65.5797, 109.315", \ + "32.384, 33.9531, 36.6663, 41.8785, 52.4354, 74.0048, 117.468" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.13981, 7.94903, 13.784, 25.7754, 50.126, 99.1589, 197.339", \ + "5.42562, 8.13792, 13.9159, 25.8148, 50.1391, 99.1588, 197.333", \ + "5.9292, 8.60915, 14.1972, 25.9774, 50.2008, 99.1573, 197.339", \ + "6.95218, 9.42642, 14.7614, 26.3837, 50.3467, 99.2302, 197.36", \ + "8.48129, 10.7512, 15.7882, 26.931, 50.7497, 99.4166, 197.385", \ + "10.4042, 12.4598, 17.0361, 27.6428, 51.0407, 100.059, 197.506", \ + "12.536, 14.4355, 18.6088, 28.6233, 51.1686, 99.3899, 197.972" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.15326, 9.89565, 13.1262, 19.2529, 31.358, 55.5409, 103.868", \ + "8.82104, 10.5715, 13.7829, 19.9091, 32.0196, 56.194, 104.523", \ + "9.55793, 11.2869, 14.5217, 20.7236, 32.8506, 57.0154, 105.336", \ + "10.64, 12.3703, 15.5682, 21.7496, 34.0479, 58.1738, 106.463", \ + "11.764, 13.5227, 16.6828, 22.9457, 35.1799, 59.4545, 107.726", \ + "12.3076, 14.1978, 17.5008, 23.9039, 36.389, 60.9467, 109.694", \ + "11.0171, 13.1076, 16.5698, 23.0809, 35.9912, 61.41, 110.921" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.93457, 9.28968, 16.1364, 30.0991, 58.3555, 115.183, 229.046", \ + "6.24364, 9.55148, 16.3013, 30.1847, 58.3858, 115.196, 229.047", \ + "6.49888, 9.8402, 16.5885, 30.4104, 58.546, 115.24, 229.053", \ + "7.20575, 10.3724, 17.0785, 30.7797, 58.8549, 115.436, 229.136", \ + "8.56454, 11.6347, 18.2197, 31.6502, 59.7331, 115.903, 229.376", \ + "11.1005, 13.9408, 20.3111, 33.5933, 61.2996, 118.61, 230.679", \ + "15.4929, 18.1108, 24.0161, 37.3489, 64.8857, 121.464, 235.012" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.01522, 9.60706, 12.4917, 18.0192, 28.9651, 50.838, 94.5516", \ + "9.01478, 10.6085, 13.4964, 19.0196, 29.9653, 51.8178, 95.5334", \ + "10.5729, 12.179, 15.1113, 20.6697, 31.6096, 53.4541, 97.1513", \ + "13.1315, 14.791, 17.7658, 23.3461, 34.3136, 56.1786, 99.8468", \ + "17.1157, 18.8872, 21.99, 27.7272, 38.7881, 60.6348, 104.377", \ + "23.3059, 25.2875, 28.6295, 34.5572, 46.0557, 68.2085, 112.012", \ + "33.1556, 35.3974, 39.1482, 45.6623, 57.711, 80.8326, 125.664" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.09717, 7.95701, 13.8268, 25.843, 50.2, 99.2188, 197.412", \ + "5.39003, 8.17103, 13.9657, 25.903, 50.2067, 99.2205, 197.431", \ + "5.87374, 8.60703, 14.2958, 26.1175, 50.3253, 99.2413, 197.419", \ + "6.86511, 9.47285, 15.0382, 26.6357, 50.5805, 99.3753, 197.449", \ + "8.58842, 11.13, 16.5136, 27.7685, 51.5018, 99.8038, 197.676", \ + "11.5419, 13.9209, 19.095, 30.2285, 53.4238, 102.49, 198.652", \ + "16.3835, 18.7689, 23.679, 34.4667, 57.5869, 105.607, 202.117" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.98326, 0.985555, 0.988915, 0.991442, 0.993058, 0.995179, 0.995017", \ + "1.02658, 1.02638, 1.02849, 1.03062, 1.03178, 1.03462, 1.03486", \ + "1.16086, 1.15275, 1.14957, 1.15209, 1.15076, 1.15375, 1.15511", \ + "1.48399, 1.46308, 1.44909, 1.44178, 1.44125, 1.43975, 1.44105", \ + "2.17185, 2.1346, 2.09857, 2.07583, 2.06243, 2.05791, 2.05392", \ + "3.57347, 3.5069, 3.44334, 3.38959, 3.35053, 3.33057, 3.31978", \ + "6.37808, 6.28545, 6.17478, 6.06666, 5.98139, 5.92276, 5.88826" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.218306, 0.220569, 0.224018, 0.226625, 0.22829, 0.231194, 0.231767", \ + "0.266696, 0.262696, 0.263882, 0.26663, 0.267869, 0.265671, 0.265696", \ + "0.400696, 0.390591, 0.388654, 0.387982, 0.38954, 0.38628, 0.384909", \ + "0.721396, 0.698934, 0.688932, 0.68517, 0.676076, 0.678079, 0.672719", \ + "1.4068, 1.36563, 1.33363, 1.31105, 1.30302, 1.29056, 1.29448", \ + "2.80737, 2.74053, 2.67523, 2.61254, 2.59581, 2.61912, 2.5455", \ + "5.61042, 5.51883, 5.403, 5.29455, 5.23087, 5.19589, 5.13122" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.28988, 1.29224, 1.29455, 1.2969, 1.29796, 1.29716, 1.29734", \ + "1.33408, 1.33317, 1.33425, 1.33662, 1.3375, 1.34025, 1.34031", \ + "1.47351, 1.46581, 1.46158, 1.46326, 1.46279, 1.46241, 1.46294", \ + "1.81186, 1.79225, 1.7762, 1.76852, 1.76555, 1.76655, 1.76394", \ + "2.4452, 2.40961, 2.37751, 2.35545, 2.34245, 2.33652, 2.33119", \ + "3.54722, 3.50058, 3.45042, 3.40681, 3.37479, 3.35509, 3.34579", \ + "5.58221, 5.52458, 5.4555, 5.38652, 5.32788, 5.28602, 5.26514" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.646707, 0.648217, 0.652636, 0.654431, 0.656264, 0.655241, 0.655323", \ + "0.693963, 0.689385, 0.690453, 0.691699, 0.693559, 0.690919, 0.690787", \ + "0.834354, 0.827781, 0.822455, 0.821617, 0.821887, 0.822435, 0.822117", \ + "1.17129, 1.14982, 1.12999, 1.12819, 1.12401, 1.12538, 1.11889", \ + "1.80146, 1.76662, 1.73315, 1.71617, 1.71118, 1.71343, 1.68707", \ + "2.90527, 2.85323, 2.80845, 2.76745, 2.75119, 2.75689, 2.71767", \ + "4.93815, 4.87792, 4.80554, 4.74039, 4.68317, 4.6563, 4.68225" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.58756; + rise_capacitance : 2.51903; + rise_capacitance_range (1.79238, 2.51903); + fall_capacitance : 2.58756; + fall_capacitance_range (1.91607, 2.58756); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.950508, 0.973065, 1.04408, 1.2423, 1.75549, 2.94334, 5.50556" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.292074, 0.316916, 0.389488, 0.583978, 1.09744, 2.28255, 4.8452" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.173796, -0.157528, -0.110418, 0.000341338, 0.242781, 0.744147, 1.76262" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.462824, 0.486631, 0.54421, 0.67123, 0.935425, 1.46941, 2.53989" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.371762, 0.386287, 0.432259, 0.544524, 0.787644, 1.2905, 2.30816" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0868423, -0.0641443, -0.00468851, 0.118425, 0.38095, 0.9149, 1.98697" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.508156; + rise_capacitance : 0.508156; + rise_capacitance_range (0.436829, 0.508156); + fall_capacitance : 0.508007; + fall_capacitance_range (0.371689, 0.508007); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, -0.991083, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.68896, -8.85752, -9.19436, -8.79639, -11.2077, -13.8717, -19.1296", \ + "-9.8201, -9.98866, -10.3255, -10.9981, -12.3389, -15.0029, -20.2608", \ + "-12.0361, -12.2046, -12.5415, -13.2141, -14.5548, -17.2189, -22.4768", \ + "-15.2515, -16.4515, -12.7909, -16.3281, -14.8042, -17.4682, -25.5957", \ + "-20.0387, -20.2073, -20.5441, -21.2167, -22.5575, -25.2215, -30.4794", \ + "-32.5834, -32.752, -33.0888, -29.7639, -31.1047, -37.7662, -43.0241", \ + "-49.823, -49.9916, -50.3284, -49.8828, -52.3418, -55.0058, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, -0.991083, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.68896, -8.85752, -9.19436, -8.79639, -11.2077, -13.8717, -19.1296", \ + "-9.8201, -9.98866, -10.3255, -10.9981, -12.3389, -15.0029, -20.2608", \ + "-12.0361, -12.2046, -12.5415, -13.2141, -14.5548, -17.2189, -22.4768", \ + "-15.2515, -16.4515, -12.7909, -16.3281, -14.8042, -17.4682, -25.5957", \ + "-20.0387, -20.2073, -20.5441, -21.2167, -22.5575, -25.2215, -30.4794", \ + "-32.5834, -32.752, -33.0888, -29.7639, -31.1047, -37.7662, -43.0241", \ + "-49.823, -49.9916, -50.3284, -49.8828, -52.3418, -55.0058, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1851, 21.2858, 23.4409, 24.6582, 31.0761, 43.1261, 59.3594", \ + "20.3439, 21.4446, 23.5996, 27.7244, 31.2349, 43.2849, 59.5182", \ + "20.6298, 21.7305, 23.8856, 28.0103, 35.5183, 43.5708, 59.8041", \ + "19.0625, 22.1758, 24.3309, 25.7913, 31.9662, 44.0162, 57.2771", \ + "19.4359, 20.5366, 22.6917, 26.8164, 34.3244, 42.3769, 58.6102", \ + "16.2078, 17.3085, 19.4636, 23.5884, 31.0964, 39.1489, 55.3822", \ + "9.60037, 10.7011, 8.85864, 14.1016, 20.4914, 32.5414, 44.7772" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.5674, 16.493, 16.379, 17.3608, 20.6675, 27.6528, 38.5446", \ + "17.7495, 17.6751, 17.5611, 21.4699, 21.8496, 28.8349, 39.7267", \ + "20.0698, 19.9954, 19.8815, 23.7902, 24.1699, 31.1553, 42.0471", \ + "25.5664, 24.4605, 24.3465, 25.3906, 28.635, 31.6228, 43.6426", \ + "32.7626, 32.6882, 32.5742, 32.4855, 32.8652, 35.853, 46.7448", \ + "46.4081, 46.3337, 42.2222, 42.1335, 46.5107, 49.4985, 56.3928", \ + "66.4572, 66.3828, 66.2688, 63.3008, 66.5598, 69.5476, 76.4419" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1851, 21.2858, 23.4409, 24.6582, 31.0761, 43.1261, 59.3594", \ + "20.3439, 21.4446, 23.5996, 27.7244, 31.2349, 43.2849, 59.5182", \ + "20.6298, 21.7305, 23.8856, 28.0103, 35.5183, 43.5708, 59.8041", \ + "19.0625, 22.1758, 24.3309, 25.7913, 31.9662, 44.0162, 57.2771", \ + "19.4359, 20.5366, 22.6917, 26.8164, 34.3244, 42.3769, 58.6102", \ + "16.2078, 17.3085, 19.4636, 23.5884, 31.0964, 39.1489, 55.3822", \ + "9.60037, 10.7011, 8.85864, 14.1016, 20.4914, 32.5414, 44.7772" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.5674, 16.493, 16.379, 17.3608, 20.6675, 27.6528, 38.5446", \ + "17.7495, 17.6751, 17.5611, 21.4699, 21.8496, 28.8349, 39.7267", \ + "20.0698, 19.9954, 19.8815, 23.7902, 24.1699, 31.1553, 42.0471", \ + "25.5664, 24.4605, 24.3465, 25.3906, 28.635, 31.6228, 43.6426", \ + "32.7626, 32.6882, 32.5742, 32.4855, 32.8652, 35.853, 46.7448", \ + "46.4081, 46.3337, 42.2222, 42.1335, 46.5107, 49.4985, 56.3928", \ + "66.4572, 66.3828, 66.2688, 63.3008, 66.5598, 69.5476, 76.4419" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00548841, 0.00549448, 0.00554544, 0.00555483, 0.00561389, 0.00565358, 0.00570225" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00604381, 0.00598046, 0.00595013, 0.00590411, 0.00585233, 0.00585242, 0.00576733" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.085544, 0.085709, 0.0856481, 0.0861515, 0.0861577, 0.0857331, 0.0854487" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0711683, -0.0704067, -0.0713172, -0.07148, -0.0715637, -0.0712031, -0.0713554" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0524881, 0.0578866, 0.0761303, 0.125462, 0.241288, 0.491784, 1.00857" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.25429, 0.260661, 0.285441, 0.345349, 0.477624, 0.753622, 1.31902" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.217414, 0.222831, 0.240744, 0.290007, 0.406118, 0.656534, 1.17333" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0887982, 0.0955871, 0.119876, 0.180135, 0.311564, 0.587791, 1.1532" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.570249, 0.574824, 0.59454, 0.649766, 0.779508, 1.06527, 1.66351" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.665844, 0.672782, 0.700535, 0.767218, 0.917975, 1.23624, 1.8913" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.616403, 0.620476, 0.640124, 0.69538, 0.825077, 1.11108, 1.70974" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.620378, 0.627389, 0.654713, 0.722102, 0.872174, 1.19045, 1.84479" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.510619; + rise_capacitance : 0.506873; + rise_capacitance_range (0.446279, 0.506873); + fall_capacitance : 0.510619; + fall_capacitance_range (0.44041, 0.510619); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.8916, -9.02844, -9.30461, -8.79639, -11.0316, -13.5209, -19.1394", \ + "-9.69102, -9.82786, -10.104, -10.6664, -11.831, -14.3203, -19.9388", \ + "-11.2516, -11.3885, -7.66714, -8.22948, -13.3916, -15.8809, -21.4994", \ + "-13.1885, -10.3593, -10.6355, -14.0625, -12.3625, -14.8518, -23.3398", \ + "-15.5476, -15.6844, -15.9606, -16.5229, -17.6876, -20.1769, -25.7954", \ + "-23.7514, -23.8883, -24.1644, -20.7293, -25.8914, -28.3807, -33.9992", \ + "-34.3713, -34.5082, -34.7844, -34.2285, -32.5139, -39.0007, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.8916, -9.02844, -9.30461, -8.79639, -11.0316, -13.5209, -19.1394", \ + "-9.69102, -9.82786, -10.104, -10.6664, -11.831, -14.3203, -19.9388", \ + "-11.2516, -11.3885, -7.66714, -8.22948, -13.3916, -15.8809, -21.4994", \ + "-13.1885, -10.3593, -10.6355, -14.0625, -12.3625, -14.8518, -23.3398", \ + "-15.5476, -15.6844, -15.9606, -16.5229, -17.6876, -20.1769, -25.7954", \ + "-23.7514, -23.8883, -24.1644, -20.7293, -25.8914, -28.3807, -33.9992", \ + "-34.3713, -34.5082, -34.7844, -34.2285, -32.5139, -39.0007, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.4507, 18.3582, 20.1367, 24.6582, 33.9255, 44.6338, 58.0043", \ + "21.9209, 22.8259, 24.6044, 28.0358, 34.3957, 45.104, 58.4745", \ + "22.8133, 23.7183, 25.4968, 28.9282, 35.2881, 45.9964, 59.3669", \ + "21.7545, 21.3135, 23.092, 27.6562, 36.8808, 43.5916, 58.0346", \ + "23.7496, 24.6546, 26.4332, 29.8645, 36.2245, 46.9327, 60.3032", \ + "22.4368, 23.3418, 25.1204, 28.5518, 34.9117, 45.62, 58.9904", \ + "19.8113, 20.7163, 22.4948, 23.0469, 32.2861, 42.9944, 56.3649" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.7045, 18.9212, 19.364, 17.3608, 22.2877, 26.8971, 38.5544", \ + "19.5549, 19.7716, 20.2144, 21.1382, 19.1406, 27.7476, 39.4048", \ + "21.2199, 21.4366, 21.8794, 18.8057, 20.8056, 29.4125, 41.0697", \ + "21.4404, 24.6231, 21.0684, 23.125, 23.9921, 28.6016, 41.3867", \ + "30.2059, 26.4251, 26.8679, 27.7917, 29.7916, 34.401, 46.0583", \ + "35.5131, 35.7297, 36.1726, 37.0963, 35.0987, 39.7082, 47.3679", \ + "48.9426, 49.1592, 49.6021, 47.6465, 48.5283, 53.1377, 60.7974" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.4507, 18.3582, 20.1367, 24.6582, 33.9255, 44.6338, 58.0043", \ + "21.9209, 22.8259, 24.6044, 28.0358, 34.3957, 45.104, 58.4745", \ + "22.8133, 23.7183, 25.4968, 28.9282, 35.2881, 45.9964, 59.3669", \ + "21.7545, 21.3135, 23.092, 27.6562, 36.8808, 43.5916, 58.0346", \ + "23.7496, 24.6546, 26.4332, 29.8645, 36.2245, 46.9327, 60.3032", \ + "22.4368, 23.3418, 25.1204, 28.5518, 34.9117, 45.62, 58.9904", \ + "19.8113, 20.7163, 22.4948, 23.0469, 32.2861, 42.9944, 56.3649" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.7045, 18.9212, 19.364, 17.3608, 22.2877, 26.8971, 38.5544", \ + "19.5549, 19.7716, 20.2144, 21.1382, 19.1406, 27.7476, 39.4048", \ + "21.2199, 21.4366, 21.8794, 18.8057, 20.8056, 29.4125, 41.0697", \ + "21.4404, 24.6231, 21.0684, 23.125, 23.9921, 28.6016, 41.3867", \ + "30.2059, 26.4251, 26.8679, 27.7917, 29.7916, 34.401, 46.0583", \ + "35.5131, 35.7297, 36.1726, 37.0963, 35.0987, 39.7082, 47.3679", \ + "48.9426, 49.1592, 49.6021, 47.6465, 48.5283, 53.1377, 60.7974" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0310845, -0.0316616, -0.0324441, -0.0324741, -0.0329344, -0.0327243, -0.0324846" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0468925, 0.0463436, 0.0469203, 0.0465831, 0.0468217, 0.0464315, 0.0463101" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0883056, 0.0880075, 0.087255, 0.0869335, 0.0870403, 0.0867466, 0.0861642" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0743353, -0.0736979, -0.0740407, -0.0740996, -0.0739883, -0.0737857, -0.0735636" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0473169, 0.0540552, 0.0752509, 0.133119, 0.269564, 0.564994, 1.17641" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.291452, 0.296433, 0.322366, 0.391694, 0.544448, 0.866583, 1.52341" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.259448, 0.266418, 0.286633, 0.344465, 0.481163, 0.776937, 1.38745" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0779063, 0.0830314, 0.109014, 0.177638, 0.330301, 0.652506, 1.30945" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564703, 0.571799, 0.595225, 0.659855, 0.816406, 1.15746, 1.87881" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.702964, 0.708427, 0.736242, 0.812767, 0.987026, 1.35753, 2.11844" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.657963, 0.665134, 0.688344, 0.752003, 0.909502, 1.25045, 1.97176" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.609102, 0.614933, 0.643226, 0.719284, 0.894033, 1.26351, 2.02455" \ + ); + } + } + } + } + cell (ICGx2p67DC_ASAP7_75t_SL) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 47994.2; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 49798.1; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 49454; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 51258.1; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 49342.9; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 51147; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 50362.9; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 56943.3; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 50643.4; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 52103.9; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 51992.7; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34042.1; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 49590.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.08678, 8.2377, 10.3678, 14.4735, 22.6106, 38.8496, 71.296", \ + "7.90352, 9.07505, 11.2384, 15.3559, 23.4953, 39.7338, 72.1879", \ + "9.21311, 10.4017, 12.5928, 16.7488, 24.9212, 41.1537, 73.6109", \ + "11.339, 12.5858, 14.8379, 19.0515, 27.2432, 43.5621, 76.0009", \ + "14.6833, 16.0299, 18.3793, 22.7721, 31.1646, 47.506, 80.0109", \ + "19.9544, 21.442, 24.091, 28.6965, 37.5247, 54.4526, 87.2138", \ + "28.5982, 30.3664, 33.2992, 38.4306, 47.7732, 65.5778, 99.7714" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.39571, 6.49097, 10.7943, 19.5675, 37.2929, 72.9104, 144.205", \ + "4.68887, 6.721, 10.9586, 19.6714, 37.3297, 72.9119, 144.204", \ + "5.07051, 7.06703, 11.2639, 19.8573, 37.457, 72.9757, 144.194", \ + "5.91514, 7.86549, 11.943, 20.5406, 37.7711, 73.1458, 144.29", \ + "7.27663, 9.19549, 13.1958, 21.519, 38.9711, 73.8923, 144.585", \ + "9.64417, 11.5341, 15.4394, 23.7013, 40.7895, 75.513, 146.099", \ + "13.6317, 15.5206, 19.416, 27.5374, 44.5962, 79.6507, 150.127" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.09788, 8.24886, 10.3792, 14.4893, 22.6343, 38.8684, 71.3232", \ + "7.8972, 9.08992, 11.2513, 15.3643, 23.518, 39.7541, 72.2096", \ + "9.23331, 10.4225, 12.6229, 16.7846, 24.9601, 41.1927, 73.6485", \ + "11.3817, 12.6424, 14.9121, 19.1331, 27.33, 43.5827, 76.0415", \ + "14.7606, 16.1101, 18.521, 22.8892, 31.2123, 47.4911, 79.9642", \ + "20.1483, 21.6556, 24.3262, 28.9276, 37.5008, 53.8762, 86.2154", \ + "28.9672, 30.7357, 33.7165, 38.6723, 47.2905, 63.6485, 95.9789" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.39152, 6.48508, 10.7845, 19.5536, 37.2765, 72.888, 144.178", \ + "4.67968, 6.71633, 10.9505, 19.6638, 37.3093, 72.89, 144.18", \ + "5.08104, 7.08262, 11.2666, 19.8476, 37.4323, 72.9488, 144.182", \ + "5.9557, 7.90002, 11.9616, 20.3587, 37.7272, 73.0778, 144.231", \ + "7.391, 9.31025, 13.284, 21.5008, 38.5544, 73.6296, 144.431", \ + "9.85175, 11.7692, 15.624, 23.5452, 40.0817, 74.5455, 144.845", \ + "13.9023, 15.7332, 19.3821, 26.8258, 42.4933, 75.9491, 145.274" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.1063, 8.38695, 10.7654, 15.3309, 24.3495, 42.3155, 78.2165", \ + "7.48046, 8.7907, 11.2279, 15.811, 24.8279, 42.7984, 78.7047", \ + "7.97973, 9.26176, 11.6909, 16.3557, 25.449, 43.3995, 79.3082", \ + "8.63933, 9.91046, 12.352, 17.0167, 26.149, 44.242, 80.1441", \ + "9.08503, 10.4376, 12.9325, 17.6533, 26.9167, 45.0663, 81.1106", \ + "8.74988, 10.1958, 12.7503, 17.6806, 27.2625, 45.8588, 82.0948", \ + "6.2948, 7.92984, 10.7505, 15.8299, 25.7305, 45.1217, 82.6417" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.26895, 7.72851, 12.7667, 23.0465, 43.8225, 85.5683, 169.163", \ + "5.5528, 7.9817, 12.9777, 23.2041, 43.8885, 85.5565, 169.161", \ + "5.71746, 8.17838, 13.248, 23.4165, 44.0898, 85.7064, 169.169", \ + "6.31831, 8.71683, 13.6855, 23.8712, 44.3717, 85.9335, 169.356", \ + "7.44972, 9.82843, 14.6616, 24.8113, 45.4877, 86.7562, 169.743", \ + "9.44102, 11.7283, 16.4745, 26.5783, 47.0683, 88.6683, 171.177", \ + "13.1512, 15.3171, 19.9716, 29.795, 50.8212, 92.3892, 174.785" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.08678, 8.2377, 10.3678, 14.4735, 22.6106, 38.8496, 71.296", \ + "7.90352, 9.07505, 11.2384, 15.3559, 23.4953, 39.7338, 72.1879", \ + "9.21311, 10.4017, 12.5928, 16.7488, 24.9212, 41.1537, 73.6109", \ + "11.339, 12.5858, 14.8379, 19.0515, 27.2432, 43.5621, 76.0009", \ + "14.6833, 16.0299, 18.3793, 22.7721, 31.1646, 47.506, 80.0109", \ + "19.9544, 21.442, 24.091, 28.6965, 37.5247, 54.4526, 87.2138", \ + "28.5982, 30.3664, 33.2992, 38.4306, 47.7732, 65.5778, 99.7714" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.39571, 6.49097, 10.7943, 19.5675, 37.2929, 72.9104, 144.205", \ + "4.68887, 6.721, 10.9586, 19.6714, 37.3297, 72.9119, 144.204", \ + "5.07051, 7.06703, 11.2639, 19.8573, 37.457, 72.9757, 144.194", \ + "5.91514, 7.86549, 11.943, 20.5406, 37.7711, 73.1458, 144.29", \ + "7.27663, 9.19549, 13.1958, 21.519, 38.9711, 73.8923, 144.585", \ + "9.64417, 11.5341, 15.4394, 23.7013, 40.7895, 75.513, 146.099", \ + "13.6317, 15.5206, 19.416, 27.5374, 44.5962, 79.6507, 150.127" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.27502, 2.28459, 2.29418, 2.30056, 2.30407, 2.3057, 2.30606", \ + "2.43039, 2.43006, 2.44116, 2.45264, 2.45544, 2.45633, 2.4598", \ + "2.85119, 2.85357, 2.85387, 2.86052, 2.87004, 2.8763, 2.8772", \ + "3.82498, 3.81244, 3.80336, 3.80561, 3.80817, 3.81203, 3.81174", \ + "5.85748, 5.82882, 5.79967, 5.78657, 5.77771, 5.77065, 5.77559", \ + "9.99686, 9.94345, 9.89678, 9.84415, 9.79729, 9.77923, 9.77538", \ + "18.3206, 18.2431, 18.1476, 18.038, 17.9512, 17.8711, 17.8371" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.197889, 0.208459, 0.218491, 0.225147, 0.228772, 0.230506, 0.230681", \ + "0.358875, 0.357863, 0.366257, 0.373874, 0.377856, 0.380025, 0.380894", \ + "0.775149, 0.778208, 0.783397, 0.788279, 0.791492, 0.793734, 0.796966", \ + "1.73959, 1.72735, 1.73011, 1.74159, 1.72694, 1.7363, 1.73272", \ + "3.77159, 3.74413, 3.71571, 3.69861, 3.72788, 3.71001, 3.68448", \ + "7.90818, 7.8577, 7.80529, 7.74497, 7.72061, 7.70193, 7.69181", \ + "16.2313, 16.1534, 16.0579, 15.9504, 15.8618, 15.8055, 15.8429" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.94113, 2.95096, 2.96071, 2.96657, 2.96998, 2.97153, 2.9717", \ + "3.08847, 3.09389, 3.10417, 3.11719, 3.11956, 3.12178, 3.12502", \ + "3.51815, 3.52039, 3.52076, 3.52598, 3.53647, 3.5419, 3.54218", \ + "4.49704, 4.48367, 4.47656, 4.47689, 4.48209, 4.48906, 4.4872", \ + "6.55993, 6.5301, 6.50226, 6.47983, 6.47237, 6.47285, 6.47029", \ + "10.2435, 10.1874, 10.1356, 10.0817, 10.0499, 10.029, 10.0121", \ + "16.3555, 16.2763, 16.1836, 16.0844, 16.0003, 15.9396, 15.8997" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.05669, 1.06669, 1.07622, 1.08196, 1.08525, 1.08667, 1.08663", \ + "1.20852, 1.21534, 1.22255, 1.22884, 1.23275, 1.23513, 1.23589", \ + "1.63746, 1.63964, 1.64354, 1.64816, 1.65159, 1.6536, 1.65491", \ + "2.61671, 2.60356, 2.60129, 2.6002, 2.6003, 2.6013, 2.60356", \ + "4.67159, 4.64123, 4.61399, 4.59131, 4.5854, 4.59027, 4.57562", \ + "8.34583, 8.29442, 8.23952, 8.17433, 8.15959, 8.15711, 8.10072", \ + "14.4576, 14.38, 14.2834, 14.1796, 14.0973, 14.0503, 14.0802" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 7.06955; + rise_capacitance : 7.00276; + rise_capacitance_range (4.46293, 7.00276); + fall_capacitance : 7.06955; + fall_capacitance_range (4.47892, 7.06955); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "29.6083, 32.9661, 36.1061, 45.3186, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41095, 2.45785, 2.57959, 2.85707, 3.6165, 5.89569, 11.7679" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.88049, 0.928584, 1.0472, 1.32469, 2.07912, 4.3592, 10.2284" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.553982, -0.520282, -0.420198, -0.189566, 0.299604, 1.31329, 3.35505" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.06716, 1.115, 1.23939, 1.50199, 2.03132, 3.09789, 5.24739" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.801888, 0.843457, 0.94088, 1.17493, 1.67372, 2.6822, 4.72398" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.326589, -0.276083, -0.160828, 0.0943246, 0.625408, 1.69463, 3.84398" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.569916; + rise_capacitance : 0.569526; + rise_capacitance_range (0.495195, 0.569526); + fall_capacitance : 0.569916; + fall_capacitance_range (0.428001, 0.569916); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7197, -12.8408, -13.0903, -12.4316, -14.7972, -17.6317, -21.2186", \ + "-13.8516, -13.9727, -14.2222, -14.7513, -15.9291, -18.7636, -22.3505", \ + "-16.0736, -16.1947, -16.4442, -16.9733, -18.1511, -20.9856, -24.5724", \ + "-19.2212, -20.4714, -20.7209, -20, -22.4278, -25.2623, -31.6602", \ + "-24.2373, -24.3584, -24.6079, -25.137, -26.3148, -29.1493, -36.7337", \ + "-37.3304, -37.4514, -37.701, -38.23, -39.4078, -42.2423, -45.8292", \ + "-56.8103, -56.9313, -57.1809, -56.5918, -58.8878, -61.7223, -65.3091" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7197, -12.8408, -13.0903, -12.4316, -14.7972, -17.6317, -21.2186", \ + "-13.8516, -13.9727, -14.2222, -14.7513, -15.9291, -18.7636, -22.3505", \ + "-16.0736, -16.1947, -16.4442, -16.9733, -18.1511, -20.9856, -24.5724", \ + "-19.2212, -20.4714, -20.7209, -20, -22.4278, -25.2623, -31.6602", \ + "-24.2373, -24.3584, -24.6079, -25.137, -26.3148, -29.1493, -36.7337", \ + "-37.3304, -37.4514, -37.701, -38.23, -39.4078, -42.2423, -45.8292", \ + "-56.8103, -56.9313, -57.1809, -56.5918, -58.8878, -61.7223, -65.3091" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6525, 90.0245", \ + "31.3525, 35.8729, 35.1874, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0915, 33.7826, 37.0946, 43.4385, 51.0081, 65.6626, 89.0347", \ + "27.9109, 29.602, 32.914, 39.2579, 46.8275, 61.482, 88.8516", \ + "19.5497, 21.2408, 24.5528, 28.1833, 38.4663, 53.1208, 76.4929" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.1562, 30.1693, 30.1955, 31.4355, 31.0189, 38.6914, 58.5699", \ + "31.0284, 31.0415, 31.0677, 31.12, 35.8886, 39.5636, 55.4446", \ + "36.7556, 36.7687, 32.7973, 32.8497, 37.6183, 41.2933, 57.1743", \ + "37.2876, 40.169, 40.1952, 37.5, 41.0186, 44.6936, 57.7637", \ + "46.7208, 46.7339, 46.76, 46.8124, 47.5835, 51.2585, 63.142", \ + "58.9072, 58.9203, 58.9464, 58.9987, 59.7698, 63.4448, 71.3309", \ + "79.5065, 79.5196, 79.5458, 76.7188, 80.3692, 84.0442, 91.9302" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6525, 90.0245", \ + "31.3525, 35.8729, 35.1874, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0915, 33.7826, 37.0946, 43.4385, 51.0081, 65.6626, 89.0347", \ + "27.9109, 29.602, 32.914, 39.2579, 46.8275, 61.482, 88.8516", \ + "19.5497, 21.2408, 24.5528, 28.1833, 38.4663, 53.1208, 76.4929" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.1562, 30.1693, 30.1955, 31.4355, 31.0189, 38.6914, 58.5699", \ + "31.0284, 31.0415, 31.0677, 31.12, 35.8886, 39.5636, 55.4446", \ + "36.7556, 36.7687, 32.7973, 32.8497, 37.6183, 41.2933, 57.1743", \ + "37.2876, 40.169, 40.1952, 37.5, 41.0186, 44.6936, 57.7637", \ + "46.7208, 46.7339, 46.76, 46.8124, 47.5835, 51.2585, 63.142", \ + "58.9072, 58.9203, 58.9464, 58.9987, 59.7698, 63.4448, 71.3309", \ + "79.5065, 79.5196, 79.5458, 76.7188, 80.3692, 84.0442, 91.9302" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0124816, 0.0124538, 0.0125088, 0.0125539, 0.0125946, 0.0126354, 0.0126867" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.013049, 0.0130207, 0.0129591, 0.0129212, 0.0128554, 0.0128416, 0.0127638" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106946, 0.108161, 0.107737, 0.107218, 0.108371, 0.107604, 0.107399" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0781889, -0.0784587, -0.0793826, -0.0782598, -0.0797598, -0.0791101, -0.0793326" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0587172, 0.0642224, 0.0827252, 0.132203, 0.248025, 0.498857, 1.01598" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.258178, 0.264751, 0.289462, 0.34974, 0.481545, 0.758923, 1.32391" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.241039, 0.246139, 0.264583, 0.314203, 0.429998, 0.68111, 1.19808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0755739, 0.0822473, 0.106499, 0.16708, 0.298179, 0.575462, 1.1407" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27071, 1.2752, 1.2931, 1.34482, 1.46866, 1.74205, 2.31256" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01337, 1.02012, 1.0457, 1.11062, 1.25222, 1.55386, 2.17324" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.26449, 1.26831, 1.28588, 1.33872, 1.46154, 1.73516, 2.30604" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02316, 1.03003, 1.05526, 1.12062, 1.26219, 1.56457, 2.18433" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.546345; + rise_capacitance : 0.542654; + rise_capacitance_range (0.480832, 0.542654); + fall_capacitance : 0.546345; + fall_capacitance_range (0.473236, 0.546345); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -17.7372, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.9614, -13.0485, -13.2295, -12.4316, -14.5103, -16.7371, -22.97", \ + "-13.7245, -13.8116, -13.9926, -14.3825, -15.2735, -17.5002, -23.7331", \ + "-15.2206, -15.3076, -15.4887, -15.8786, -16.7695, -18.9963, -25.2291", \ + "-16.9629, -18.1791, -14.3626, -17.5, -19.641, -21.8677, -26.9141", \ + "-19.3547, -19.4418, -19.6228, -20.0127, -20.9036, -27.1279, -29.3633", \ + "-27.9443, -28.0313, -28.2124, -28.6023, -29.4932, -31.72, -37.9528", \ + "-41.3977, -41.4848, -37.6683, -40.9375, -38.9491, -45.1734, -51.4062" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -17.7372, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.9614, -13.0485, -13.2295, -12.4316, -14.5103, -16.7371, -22.97", \ + "-13.7245, -13.8116, -13.9926, -14.3825, -15.2735, -17.5002, -23.7331", \ + "-15.2206, -15.3076, -15.4887, -15.8786, -16.7695, -18.9963, -25.2291", \ + "-16.9629, -18.1791, -14.3626, -17.5, -19.641, -21.8677, -26.9141", \ + "-19.3547, -19.4418, -19.6228, -20.0127, -20.9036, -27.1279, -29.3633", \ + "-27.9443, -28.0313, -28.2124, -28.6023, -29.4932, -31.72, -37.9528", \ + "-41.3977, -41.4848, -37.6683, -40.9375, -38.9491, -45.1734, -51.4062" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.0097, 32.8681, 36.4988, 40.6836, 51.8707, 67.2598, 91.9503", \ + "31.5122, 33.3707, 37.0013, 43.9178, 52.3732, 67.7623, 92.4529", \ + "32.4679, 34.3264, 37.9571, 44.8735, 53.329, 68.718, 93.4086", \ + "31.3525, 36.0403, 39.671, 43.6094, 51.0454, 70.432, 93.125", \ + "33.6601, 35.5185, 39.1492, 42.0681, 54.5211, 69.9102, 90.6032", \ + "32.6165, 34.475, 38.1057, 45.0221, 53.4776, 68.8666, 89.5597", \ + "30.5294, 32.3879, 32.0211, 40.9375, 47.393, 62.7821, 87.4726" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.3979, 30.3356, 30.2519, 31.4355, 30.8935, 38.7977, 57.0724", \ + "30.9014, 30.839, 30.7553, 30.7512, 31.3969, 39.3011, 57.5759", \ + "31.9051, 31.8428, 31.759, 31.7549, 36.3981, 40.3048, 58.5796", \ + "35.0293, 37.8353, 37.7516, 35, 38.3932, 42.2999, 57.7637", \ + "41.8382, 41.7759, 41.6921, 41.6881, 42.3338, 46.2405, 60.5177", \ + "49.5211, 49.4588, 49.375, 49.371, 50.0166, 53.9234, 64.2031", \ + "64.0939, 64.0316, 63.9479, 61.0645, 64.5895, 68.4962, 74.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.0097, 32.8681, 36.4988, 40.6836, 51.8707, 67.2598, 91.9503", \ + "31.5122, 33.3707, 37.0013, 43.9178, 52.3732, 67.7623, 92.4529", \ + "32.4679, 34.3264, 37.9571, 44.8735, 53.329, 68.718, 93.4086", \ + "31.3525, 36.0403, 39.671, 43.6094, 51.0454, 70.432, 93.125", \ + "33.6601, 35.5185, 39.1492, 42.0681, 54.5211, 69.9102, 90.6032", \ + "32.6165, 34.475, 38.1057, 45.0221, 53.4776, 68.8666, 89.5597", \ + "30.5294, 32.3879, 32.0211, 40.9375, 47.393, 62.7821, 87.4726" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.3979, 30.3356, 30.2519, 31.4355, 30.8935, 38.7977, 57.0724", \ + "30.9014, 30.839, 30.7553, 30.7512, 31.3969, 39.3011, 57.5759", \ + "31.9051, 31.8428, 31.759, 31.7549, 36.3981, 40.3048, 58.5796", \ + "35.0293, 37.8353, 37.7516, 35, 38.3932, 42.2999, 57.7637", \ + "41.8382, 41.7759, 41.6921, 41.6881, 42.3338, 46.2405, 60.5177", \ + "49.5211, 49.4588, 49.375, 49.371, 50.0166, 53.9234, 64.2031", \ + "64.0939, 64.0316, 63.9479, 61.0645, 64.5895, 68.4962, 74.7784" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0241967, -0.0247404, -0.0255276, -0.0254324, -0.0259672, -0.0257374, -0.025517" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0538633, 0.0532738, 0.0532733, 0.0538337, 0.0539107, 0.0533061, 0.0533163" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105032, 0.10428, 0.103763, 0.103409, 0.103362, 0.10298, 0.102368" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0763321, -0.0757233, -0.0755415, -0.0761854, -0.0766148, -0.0757895, -0.0757846" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0542626, 0.060874, 0.0824694, 0.14037, 0.276968, 0.572883, 1.18456" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.294334, 0.299989, 0.326012, 0.39427, 0.546903, 0.87043, 1.52754" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.277992, 0.284649, 0.305678, 0.363614, 0.500889, 0.796435, 1.4072" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0693576, 0.0745852, 0.100683, 0.16888, 0.322483, 0.644717, 1.30197" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.26893, 1.27562, 1.2974, 1.35871, 1.50864, 1.83466, 2.51709" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.04426, 1.04889, 1.07647, 1.14856, 1.31558, 1.66437, 2.39111" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.30443, 1.31082, 1.33215, 1.39363, 1.54357, 1.86869, 2.55318" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01223, 1.01658, 1.04401, 1.11671, 1.28394, 1.63238, 2.35937" \ + ); + } + } + } + } + cell (ICGx3_ASAP7_75t_SL) { + area : 0.2916; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 24080; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25506.7; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25539.8; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26966.6; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25428.7; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26855.5; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26468.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32636.7; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25236.7; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26697.2; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26586; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23865.3; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26322.3; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.60241, 9.79198, 11.9009, 15.739, 23.1185, 37.7608, 67.0164", \ + "9.72198, 10.8982, 12.9827, 16.8134, 24.1835, 38.8171, 68.0645", \ + "11.4714, 12.6644, 14.7811, 18.6403, 26.0235, 40.6304, 69.8762", \ + "14.3099, 15.5421, 17.7131, 21.6042, 28.9877, 43.622, 72.8327", \ + "18.7409, 20.0572, 22.3525, 26.3636, 33.8681, 48.483, 77.7388", \ + "25.5154, 27.0122, 29.5102, 33.6648, 41.5575, 56.449, 85.8345", \ + "36.2686, 37.9107, 40.749, 45.438, 53.7823, 69.3322, 99.6834" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.83845, 6.71724, 10.5723, 18.4829, 34.6807, 67.5455, 133.659", \ + "5.11584, 6.92966, 10.7082, 18.5541, 34.7104, 67.555, 133.66", \ + "5.72802, 7.48093, 11.1868, 18.8907, 34.9053, 67.6134, 133.66", \ + "6.83742, 8.49944, 12.0551, 19.5747, 35.3277, 67.8243, 133.754", \ + "8.91788, 10.5165, 13.8451, 21.2517, 36.5704, 68.6915, 134.074", \ + "12.3953, 13.7645, 16.9162, 23.8528, 38.8352, 70.4284, 135.642", \ + "17.8461, 19.2087, 22.2442, 28.9055, 43.5099, 74.6618, 139.337" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.67054, 9.84689, 11.9002, 15.6614, 23.011, 37.6425, 66.905", \ + "9.78389, 10.9351, 12.957, 16.6967, 24.0277, 38.6569, 67.913", \ + "11.589, 12.7476, 14.7733, 18.5004, 25.8354, 40.4522, 69.6781", \ + "14.4772, 15.6099, 17.6406, 21.3453, 28.6116, 43.2296, 72.4561", \ + "18.7793, 19.897, 21.9112, 25.5338, 32.7912, 47.3317, 76.5344", \ + "24.8492, 25.9762, 27.9323, 31.4749, 38.6, 53.0817, 82.2312", \ + "33.721, 34.8425, 36.8711, 40.3743, 47.3392, 61.6126, 90.5887" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.8396, 6.64455, 10.4059, 18.2617, 34.454, 67.3675, 133.538", \ + "5.06594, 6.80882, 10.5053, 18.2952, 34.4715, 67.3641, 133.537", \ + "5.62946, 7.30758, 10.8871, 18.533, 34.5616, 67.399, 133.529", \ + "6.59947, 8.14072, 11.5276, 18.9659, 34.8318, 67.4786, 133.588", \ + "7.9397, 9.4235, 12.5195, 19.6484, 35.4511, 67.7317, 133.624", \ + "9.42083, 10.735, 13.6402, 20.3132, 35.5395, 67.8708, 134.202", \ + "11.0571, 12.3301, 15.0438, 21.3479, 35.9561, 68.0699, 133.834" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.79663, 10.0522, 12.3797, 16.6054, 24.773, 40.9645, 73.3048", \ + "9.68196, 10.9199, 13.1948, 17.414, 25.5754, 41.7503, 74.072", \ + "10.64, 11.8709, 14.145, 18.4267, 26.5885, 42.7719, 75.0898", \ + "12.0414, 13.273, 15.5101, 19.7385, 27.9702, 44.1536, 76.479", \ + "13.5671, 14.804, 17.0909, 21.3262, 29.5559, 45.7607, 78.1111", \ + "14.7882, 16.1607, 18.5295, 22.8176, 31.1779, 47.673, 80.1484", \ + "14.2935, 15.8439, 18.4319, 22.977, 31.4767, 48.3454, 81.85" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.54912, 7.74542, 12.2416, 21.4289, 40.1673, 78.0681, 154.354", \ + "5.83472, 8.00896, 12.4104, 21.5266, 40.2095, 78.1044, 154.357", \ + "6.19637, 8.33676, 12.7627, 21.8142, 40.4163, 78.2127, 154.37", \ + "6.99554, 9.02013, 13.2728, 22.3416, 40.7802, 78.4193, 154.544", \ + "8.68253, 10.5616, 14.6609, 23.2953, 41.7708, 79.1413, 154.866", \ + "11.6106, 13.2448, 17.1476, 25.616, 43.5092, 80.578, 156.524", \ + "16.6357, 18.1016, 21.5885, 29.6692, 47.393, 84.6195, 159.418" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "8.60241, 9.79198, 11.9009, 15.739, 23.1185, 37.7608, 67.0164", \ + "9.72198, 10.8982, 12.9827, 16.8134, 24.1835, 38.8171, 68.0645", \ + "11.4714, 12.6644, 14.7811, 18.6403, 26.0235, 40.6304, 69.8762", \ + "14.3099, 15.5421, 17.7131, 21.6042, 28.9877, 43.622, 72.8327", \ + "18.7409, 20.0572, 22.3525, 26.3636, 33.8681, 48.483, 77.7388", \ + "25.5154, 27.0122, 29.5102, 33.6648, 41.5575, 56.449, 85.8345", \ + "36.2686, 37.9107, 40.749, 45.438, 53.7823, 69.3322, 99.6834" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.83845, 6.71724, 10.5723, 18.4829, 34.6807, 67.5455, 133.659", \ + "5.11584, 6.92966, 10.7082, 18.5541, 34.7104, 67.555, 133.66", \ + "5.72802, 7.48093, 11.1868, 18.8907, 34.9053, 67.6134, 133.66", \ + "6.83742, 8.49944, 12.0551, 19.5747, 35.3277, 67.8243, 133.754", \ + "8.91788, 10.5165, 13.8451, 21.2517, 36.5704, 68.6915, 134.074", \ + "12.3953, 13.7645, 16.9162, 23.8528, 38.8352, 70.4284, 135.642", \ + "17.8461, 19.2087, 22.2442, 28.9055, 43.5099, 74.6618, 139.337" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.18096, 1.16975, 1.16755, 1.16895, 1.17029, 1.17116, 1.1714", \ + "1.23107, 1.21334, 1.20565, 1.20473, 1.20643, 1.20774, 1.20782", \ + "1.37945, 1.34924, 1.33105, 1.32373, 1.32207, 1.3215, 1.32204", \ + "1.73309, 1.68405, 1.64509, 1.62009, 1.60814, 1.60002, 1.60042", \ + "2.48399, 2.4106, 2.33899, 2.28239, 2.24292, 2.22191, 2.21163", \ + "3.99665, 3.89527, 3.77175, 3.66295, 3.57383, 3.51703, 3.48643", \ + "6.9768, 6.85529, 6.67704, 6.47941, 6.3083, 6.17694, 6.09154" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.415966, 0.404882, 0.402965, 0.404575, 0.406054, 0.406949, 0.407176", \ + "0.466678, 0.449711, 0.443772, 0.441246, 0.438386, 0.437114, 0.436645", \ + "0.620293, 0.589265, 0.569572, 0.558948, 0.556496, 0.551478, 0.547863", \ + "0.969276, 0.919775, 0.888821, 0.859814, 0.844014, 0.840467, 0.829789", \ + "1.72069, 1.6457, 1.5742, 1.52716, 1.49315, 1.49301, 1.44894", \ + "3.22956, 3.12812, 3.00614, 2.87129, 2.80254, 2.72803, 2.73244", \ + "6.2095, 6.08582, 5.90835, 5.71237, 5.53841, 5.40512, 5.40942" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.48214, 1.47302, 1.47069, 1.47223, 1.47368, 1.47497, 1.47484", \ + "1.53318, 1.5182, 1.51233, 1.51089, 1.51227, 1.51324, 1.51308", \ + "1.68279, 1.65733, 1.64157, 1.63538, 1.63139, 1.63096, 1.63164", \ + "2.03766, 1.99907, 1.96591, 1.94355, 1.93338, 1.92783, 1.92476", \ + "2.6939, 2.63876, 2.58718, 2.54205, 2.5134, 2.49648, 2.48795", \ + "3.81963, 3.75853, 3.68611, 3.61614, 3.56031, 3.52134, 3.49899", \ + "5.89217, 5.81963, 5.73298, 5.6299, 5.54147, 5.47084, 5.42767" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.839219, 0.830321, 0.828393, 0.830207, 0.831841, 0.833198, 0.833273", \ + "0.889327, 0.874886, 0.868272, 0.86776, 0.863603, 0.862889, 0.862369", \ + "1.04285, 1.01853, 1.00102, 0.989058, 0.991046, 0.990085, 0.985562", \ + "1.39676, 1.35538, 1.32498, 1.30505, 1.2986, 1.28406, 1.27725", \ + "2.05107, 1.99465, 1.94358, 1.89581, 1.90879, 1.87477, 1.84521", \ + "3.17639, 3.11268, 3.04279, 2.97177, 2.9424, 2.95025, 2.93767", \ + "5.24836, 5.17769, 5.08959, 4.98493, 4.89718, 4.84597, 4.83725" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.58772; + rise_capacitance : 2.51331; + rise_capacitance_range (1.78867, 2.51331); + fall_capacitance : 2.58772; + fall_capacitance_range (1.91529, 2.58772); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.54492, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.951792, 0.974282, 1.0452, 1.24327, 1.75655, 2.94532, 5.51477" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.293179, 0.317963, 0.390424, 0.584762, 1.09841, 2.28436, 4.85426" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.173058, -0.156806, -0.110423, 6.90961e-05, 0.243024, 0.744593, 1.7631" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.464159, 0.487684, 0.545313, 0.6723, 0.935161, 1.4705, 2.54105" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.371287, 0.38717, 0.434911, 0.547451, 0.788845, 1.29078, 2.30877" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0858366, -0.0634731, -0.00380882, 0.119282, 0.382658, 0.915818, 1.98793" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.508038; + rise_capacitance : 0.508038; + rise_capacitance_range (0.436206, 0.508038); + fall_capacitance : 0.507942; + fall_capacitance_range (0.371518, 0.507942); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.1318, -10.0746, -9.9796, -8.79639, -9.95135, -11.359, -19.1296", \ + "-11.263, -11.2058, -11.1107, -10.9981, -11.0825, -12.4901, -20.2608", \ + "-9.48146, -9.42426, -9.32922, -13.2141, -13.2985, -14.7061, -22.4768", \ + "-16.6748, -13.6711, -13.5761, -16.3281, -17.5453, -18.953, -25.5957", \ + "-21.4816, -21.4244, -21.3294, -21.2167, -21.3011, -26.7063, -30.4794", \ + "-34.0263, -29.9716, -29.8766, -29.7639, -33.8458, -35.2535, -43.0241", \ + "-51.2659, -51.2087, -51.1136, -49.8828, -51.0854, -56.4905, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.1318, -10.0746, -9.9796, -8.79639, -9.95135, -11.359, -19.1296", \ + "-11.263, -11.2058, -11.1107, -10.9981, -11.0825, -12.4901, -20.2608", \ + "-9.48146, -9.42426, -9.32922, -13.2141, -13.2985, -14.7061, -22.4768", \ + "-16.6748, -13.6711, -13.5761, -16.3281, -17.5453, -18.953, -25.5957", \ + "-21.4816, -21.4244, -21.3294, -21.2167, -21.3011, -26.7063, -30.4794", \ + "-34.0263, -29.9716, -29.8766, -29.7639, -33.8458, -35.2535, -43.0241", \ + "-51.2659, -51.2087, -51.1136, -49.8828, -51.0854, -56.4905, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2048, 21.2979, 23.4425, 24.6582, 31.1502, 43.6576, 58.0451", \ + "20.3605, 21.4535, 23.5981, 27.7213, 31.3059, 43.8133, 58.2008", \ + "20.6404, 21.7335, 23.8781, 28.0013, 31.5859, 44.0933, 58.4808", \ + "19.0625, 22.1682, 24.3128, 25.7519, 32.0206, 44.5279, 60.9155", \ + "19.4387, 20.5318, 22.6764, 26.7995, 34.3816, 42.8915, 57.279", \ + "16.1776, 17.2707, 19.4153, 23.5384, 31.1205, 39.6304, 54.0179", \ + "9.62009, 10.7131, 8.86025, 14.1016, 20.5655, 33.0729, 47.4604" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.062, 20.0662, 20.1028, 17.3608, 21.1054, 24.5311, 38.5446", \ + "21.2441, 21.2484, 21.2849, 21.4699, 22.2875, 25.7133, 39.7267", \ + "23.5644, 23.5687, 19.6077, 23.7902, 24.6079, 28.0336, 42.0471", \ + "25.083, 24.0363, 24.0728, 25.3906, 29.0729, 32.4987, 43.6426", \ + "32.2597, 32.2639, 32.3005, 32.4855, 33.3031, 36.7288, 46.7448", \ + "45.9052, 45.9094, 45.946, 42.1335, 46.9486, 50.3744, 56.3928", \ + "65.9543, 65.9586, 65.9951, 63.3008, 66.9977, 70.4235, 76.4419" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2048, 21.2979, 23.4425, 24.6582, 31.1502, 43.6576, 58.0451", \ + "20.3605, 21.4535, 23.5981, 27.7213, 31.3059, 43.8133, 58.2008", \ + "20.6404, 21.7335, 23.8781, 28.0013, 31.5859, 44.0933, 58.4808", \ + "19.0625, 22.1682, 24.3128, 25.7519, 32.0206, 44.5279, 60.9155", \ + "19.4387, 20.5318, 22.6764, 26.7995, 34.3816, 42.8915, 57.279", \ + "16.1776, 17.2707, 19.4153, 23.5384, 31.1205, 39.6304, 54.0179", \ + "9.62009, 10.7131, 8.86025, 14.1016, 20.5655, 33.0729, 47.4604" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.062, 20.0662, 20.1028, 17.3608, 21.1054, 24.5311, 38.5446", \ + "21.2441, 21.2484, 21.2849, 21.4699, 22.2875, 25.7133, 39.7267", \ + "23.5644, 23.5687, 19.6077, 23.7902, 24.6079, 28.0336, 42.0471", \ + "25.083, 24.0363, 24.0728, 25.3906, 29.0729, 32.4987, 43.6426", \ + "32.2597, 32.2639, 32.3005, 32.4855, 33.3031, 36.7288, 46.7448", \ + "45.9052, 45.9094, 45.946, 42.1335, 46.9486, 50.3744, 56.3928", \ + "65.9543, 65.9586, 65.9951, 63.3008, 66.9977, 70.4235, 76.4419" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00622805, 0.00631445, 0.00628613, 0.00633789, 0.00635453, 0.00639423, 0.00644294" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00678477, 0.00673762, 0.00669105, 0.00664513, 0.00659323, 0.00659325, 0.0065081" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.086266, 0.08567, 0.0863619, 0.0863337, 0.0868716, 0.0864472, 0.086163" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0703986, -0.0698554, -0.0705479, -0.0707121, -0.0707942, -0.0704335, -0.0705854" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0533805, 0.0585794, 0.076838, 0.126151, 0.24193, 0.492438, 1.00921" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.255211, 0.26168, 0.286349, 0.346248, 0.478173, 0.754541, 1.3199" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218016, 0.223549, 0.241481, 0.290628, 0.406832, 0.657202, 1.174" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0896989, 0.0965902, 0.120768, 0.181026, 0.312827, 0.588751, 1.15407" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.570477, 0.57551, 0.595267, 0.650409, 0.78001, 1.06554, 1.6642" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.667141, 0.674199, 0.70164, 0.769256, 0.919067, 1.23731, 1.89235" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.616855, 0.621214, 0.640676, 0.69606, 0.825802, 1.11131, 1.71047" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.62163, 0.628898, 0.655775, 0.723916, 0.873244, 1.19149, 1.84582" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.51026; + rise_capacitance : 0.506523; + rise_capacitance_range (0.445923, 0.506523); + fall_capacitance : 0.51026; + fall_capacitance_range (0.440054, 0.51026); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.19336, -8.43944, -8.92461, -8.79639, -11.6396, -10.7394, -19.1394", \ + "-8.99278, -9.23886, -9.72403, -10.6664, -8.44152, -11.5388, -19.9388", \ + "-10.5534, -10.7995, -11.2846, -12.227, -10.0021, -13.0994, -21.4994", \ + "-12.4707, -13.7678, -10.2555, -14.0625, -12.9705, -16.0678, -23.3398", \ + "-14.8493, -15.0954, -15.5806, -16.5229, -18.2956, -21.3929, -25.7954", \ + "-23.0532, -23.2993, -23.7844, -24.7268, -22.5019, -25.5992, -33.9992", \ + "-33.6731, -33.9192, -34.4044, -34.2285, -33.1218, -36.2191, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.19336, -8.43944, -8.92461, -8.79639, -11.6396, -10.7394, -19.1394", \ + "-8.99278, -9.23886, -9.72403, -10.6664, -8.44152, -11.5388, -19.9388", \ + "-10.5534, -10.7995, -11.2846, -12.227, -10.0021, -13.0994, -21.4994", \ + "-12.4707, -13.7678, -10.2555, -14.0625, -12.9705, -16.0678, -23.3398", \ + "-14.8493, -15.0954, -15.5806, -16.5229, -18.2956, -21.3929, -25.7954", \ + "-23.0532, -23.2993, -23.7844, -24.7268, -22.5019, -25.5992, -33.9992", \ + "-33.6731, -33.9192, -34.4044, -34.2285, -33.1218, -36.2191, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7862, 18.6366, 20.3101, 24.6582, 33.726, 44.624, 56.7311", \ + "22.2564, 23.1043, 24.7778, 28.0358, 34.1962, 45.0942, 57.2013", \ + "23.1488, 19.9992, 21.6727, 28.9282, 35.0886, 45.9866, 58.0937", \ + "22.4255, 21.5919, 23.2654, 27.6562, 36.6813, 43.5818, 61.6864", \ + "24.0851, 24.933, 26.6065, 29.8645, 36.025, 46.9229, 59.03", \ + "22.7724, 23.6202, 25.2937, 28.5518, 34.7122, 45.6102, 61.7147", \ + "20.1468, 20.9947, 22.6682, 23.0469, 32.0866, 42.9846, 55.0917" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2255, 20.2344, 16.2547, 17.3608, 20.9633, 28.2458, 38.5544", \ + "21.0759, 17.0873, 17.1051, 21.1382, 21.8137, 25.0987, 39.4048", \ + "18.7434, 18.7523, 18.7701, 18.8057, 23.4787, 26.7637, 41.0697", \ + "22.981, 21.9388, 21.9566, 23.125, 26.6652, 29.9502, 41.3867", \ + "27.7294, 27.7383, 27.7561, 27.7917, 28.4672, 31.7522, 46.0583", \ + "37.0341, 37.043, 37.0607, 37.0963, 37.7718, 41.0569, 47.3679", \ + "50.4636, 50.4725, 50.4902, 47.6465, 51.2013, 54.4864, 60.7974" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.7862, 18.6366, 20.3101, 24.6582, 33.726, 44.624, 56.7311", \ + "22.2564, 23.1043, 24.7778, 28.0358, 34.1962, 45.0942, 57.2013", \ + "23.1488, 19.9992, 21.6727, 28.9282, 35.0886, 45.9866, 58.0937", \ + "22.4255, 21.5919, 23.2654, 27.6562, 36.6813, 43.5818, 61.6864", \ + "24.0851, 24.933, 26.6065, 29.8645, 36.025, 46.9229, 59.03", \ + "22.7724, 23.6202, 25.2937, 28.5518, 34.7122, 45.6102, 61.7147", \ + "20.1468, 20.9947, 22.6682, 23.0469, 32.0866, 42.9846, 55.0917" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2255, 20.2344, 16.2547, 17.3608, 20.9633, 28.2458, 38.5544", \ + "21.0759, 17.0873, 17.1051, 21.1382, 21.8137, 25.0987, 39.4048", \ + "18.7434, 18.7523, 18.7701, 18.8057, 23.4787, 26.7637, 41.0697", \ + "22.981, 21.9388, 21.9566, 23.125, 26.6652, 29.9502, 41.3867", \ + "27.7294, 27.7383, 27.7561, 27.7917, 28.4672, 31.7522, 46.0583", \ + "37.0341, 37.043, 37.0607, 37.0963, 37.7718, 41.0569, 47.3679", \ + "50.4636, 50.4725, 50.4902, 47.6465, 51.2013, 54.4864, 60.7974" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0303506, -0.0309068, -0.0317142, -0.0316217, -0.0321839, -0.0319754, -0.0317355" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0476209, 0.0468868, 0.047188, 0.0472172, 0.0473955, 0.0470311, 0.0470396" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0890476, 0.0886687, 0.0880247, 0.0876123, 0.0877039, 0.0874245, 0.0868424" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.073533, -0.0726993, -0.0726734, -0.0728463, -0.0729657, -0.0727061, -0.0727626" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0480098, 0.0547485, 0.0759082, 0.133824, 0.270194, 0.565664, 1.17707" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.292317, 0.297171, 0.32338, 0.392885, 0.544665, 0.867437, 1.52426" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.260082, 0.26707, 0.28751, 0.345302, 0.482026, 0.777565, 1.38808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0788146, 0.0840106, 0.109849, 0.178948, 0.330808, 0.653414, 1.31036" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.565357, 0.572437, 0.595876, 0.660494, 0.81573, 1.15816, 1.87956" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.704021, 0.709493, 0.737457, 0.813611, 0.988155, 1.35857, 2.11946" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.658589, 0.665695, 0.688967, 0.752616, 0.908521, 1.25116, 1.97258" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.610196, 0.616035, 0.644343, 0.720617, 0.895133, 1.26458, 2.02556" \ + ); + } + } + } + } + cell (ICGx4DC_ASAP7_75t_SL) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 51774.2; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 54814.8; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 53234; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 56274.8; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 53122.9; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 56163.6; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 54142.9; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 61960; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 54423.5; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 55883.9; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 55772.8; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37820.5; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 53782.3; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.87959, 7.72069, 9.23772, 12.0547, 17.52, 28.3786, 50.0742", \ + "7.75651, 8.62029, 10.1642, 12.9919, 18.4615, 29.3199, 51.0078", \ + "9.17223, 10.0449, 11.6065, 14.4637, 19.9726, 30.838, 52.528", \ + "11.4384, 12.3579, 13.9762, 16.9063, 22.4416, 33.3888, 55.0321", \ + "14.965, 15.9727, 17.684, 20.7829, 26.4933, 37.495, 59.2312", \ + "20.4836, 21.6121, 23.5754, 26.9168, 32.9305, 44.3773, 66.5427", \ + "29.4808, 30.7897, 33.0131, 36.7387, 43.2983, 55.3745, 78.6048" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.65514, 5.03038, 7.84504, 13.6344, 25.4246, 49.2431, 97.0974", \ + "3.98643, 5.31751, 8.06582, 13.7771, 25.4945, 49.2678, 97.1025", \ + "4.44124, 5.75481, 8.44295, 14.0703, 25.6819, 49.3779, 97.1271", \ + "5.39749, 6.6539, 9.34097, 14.7063, 26.3355, 49.6593, 97.2515", \ + "6.96128, 8.19142, 10.7303, 16.0607, 27.2429, 50.6726, 97.6848", \ + "9.57078, 10.7701, 13.2416, 18.4437, 29.5193, 52.6008, 99.8161", \ + "13.9051, 15.1087, 17.5459, 22.5905, 33.5902, 56.3984, 103.3" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.89351, 7.74137, 9.25875, 12.0825, 17.5575, 28.4242, 50.1183", \ + "7.77273, 8.63908, 10.1861, 13.0164, 18.495, 29.3595, 51.0536", \ + "9.19887, 10.0666, 11.6421, 14.5127, 20.0243, 30.8902, 52.5798", \ + "11.4932, 12.4248, 14.0619, 17.0086, 22.5402, 33.4214, 55.0964", \ + "15.0622, 16.0875, 17.8383, 20.9199, 26.5937, 37.4996, 59.1929", \ + "20.7172, 21.8512, 23.8328, 27.1476, 33.0865, 44.0437, 65.6919", \ + "29.8995, 31.2133, 33.4058, 36.9776, 43.1608, 54.1213, 75.5275" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.65895, 5.03439, 7.85436, 13.6349, 25.4311, 49.2311, 97.0855", \ + "4.00521, 5.32735, 8.07764, 13.7805, 25.4988, 49.2453, 97.0685", \ + "4.46646, 5.77538, 8.47205, 14.0794, 25.6802, 49.3522, 97.1078", \ + "5.45868, 6.71891, 9.34626, 14.749, 26.1429, 49.5708, 97.1873", \ + "7.10016, 8.32577, 10.8583, 16.1544, 27.1729, 50.6584, 97.5137", \ + "9.81589, 11.007, 13.469, 18.498, 29.1214, 51.6562, 98.6063", \ + "14.0951, 15.1962, 17.5064, 22.0459, 32.0888, 53.403, 98.9698" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.89522, 7.81087, 9.4923, 12.6247, 18.6868, 30.7027, 54.6932", \ + "7.37808, 8.30309, 10.0317, 13.1871, 19.2477, 31.2683, 55.2612", \ + "8.00385, 8.91372, 10.6086, 13.7812, 19.9531, 31.9657, 55.9536", \ + "8.82606, 9.73613, 11.4194, 14.6144, 20.7486, 32.8864, 56.9134", \ + "9.50277, 10.4624, 12.2092, 15.4112, 21.6416, 33.8701, 58.132", \ + "9.42099, 10.4698, 12.3242, 15.7043, 22.0999, 34.6407, 59.1486", \ + "7.26639, 8.49112, 10.5878, 14.1574, 20.785, 33.7527, 59.1711" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.34665, 5.96593, 9.27488, 16.0462, 29.8396, 57.6898, 113.617", \ + "4.66257, 6.2637, 9.52311, 16.2327, 29.955, 57.7263, 113.619", \ + "4.90634, 6.49256, 9.76977, 16.4999, 30.1765, 57.8956, 113.698", \ + "5.61763, 7.16704, 10.3383, 16.901, 30.7063, 58.1671, 113.903", \ + "6.94425, 8.4033, 11.4891, 18.1747, 31.477, 59.5089, 114.561", \ + "9.25256, 10.613, 13.5731, 20.0076, 33.3625, 60.6952, 117.184", \ + "13.3059, 14.6015, 17.3976, 23.5362, 36.8414, 64.6013, 120.187" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.87959, 7.72069, 9.23772, 12.0547, 17.52, 28.3786, 50.0742", \ + "7.75651, 8.62029, 10.1642, 12.9919, 18.4615, 29.3199, 51.0078", \ + "9.17223, 10.0449, 11.6065, 14.4637, 19.9726, 30.838, 52.528", \ + "11.4384, 12.3579, 13.9762, 16.9063, 22.4416, 33.3888, 55.0321", \ + "14.965, 15.9727, 17.684, 20.7829, 26.4933, 37.495, 59.2312", \ + "20.4836, 21.6121, 23.5754, 26.9168, 32.9305, 44.3773, 66.5427", \ + "29.4808, 30.7897, 33.0131, 36.7387, 43.2983, 55.3745, 78.6048" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.65514, 5.03038, 7.84504, 13.6344, 25.4246, 49.2431, 97.0974", \ + "3.98643, 5.31751, 8.06582, 13.7771, 25.4945, 49.2678, 97.1025", \ + "4.44124, 5.75481, 8.44295, 14.0703, 25.6819, 49.3779, 97.1271", \ + "5.39749, 6.6539, 9.34097, 14.7063, 26.3355, 49.6593, 97.2515", \ + "6.96128, 8.19142, 10.7303, 16.0607, 27.2429, 50.6726, 97.6848", \ + "9.57078, 10.7701, 13.2416, 18.4437, 29.5193, 52.6008, 99.8161", \ + "13.9051, 15.1087, 17.5459, 22.5905, 33.5902, 56.3984, 103.3" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.47074, 2.47387, 2.4853, 2.49635, 2.50378, 2.50688, 2.50802", \ + "2.62051, 2.62001, 2.62522, 2.63722, 2.64451, 2.6487, 2.65032", \ + "3.05806, 3.04654, 3.03678, 3.0414, 3.04841, 3.05526, 3.06111", \ + "4.05688, 4.02449, 4.00349, 3.98464, 3.98317, 3.97918, 3.98252", \ + "6.16114, 6.10755, 6.04878, 5.99719, 5.9667, 5.95362, 5.94744", \ + "10.4149, 10.335, 10.2294, 10.1316, 10.0377, 9.98233, 9.95334", \ + "18.929, 18.8237, 18.6646, 18.482, 18.304, 18.165, 18.0689" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.39103, 0.396372, 0.408379, 0.41983, 0.427591, 0.430712, 0.431945", \ + "0.547033, 0.545093, 0.553111, 0.559731, 0.567123, 0.57181, 0.57468", \ + "0.980108, 0.970839, 0.964236, 0.965668, 0.969124, 0.973057, 0.976689", \ + "1.97586, 1.94818, 1.93054, 1.90958, 1.93487, 1.91825, 1.90328", \ + "4.07546, 4.02078, 3.95575, 3.90989, 3.88694, 3.88939, 3.85221", \ + "8.32428, 8.24247, 8.14004, 8.04355, 7.95356, 7.90438, 7.9197", \ + "16.8384, 16.7324, 16.5745, 16.3868, 16.232, 16.0804, 15.9874" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.13563, 3.14144, 3.15399, 3.16428, 3.16994, 3.17349, 3.17537", \ + "3.28818, 3.28579, 3.29256, 3.30317, 3.31028, 3.31582, 3.31739", \ + "3.729, 3.71829, 3.70732, 3.71034, 3.71473, 3.72303, 3.72654", \ + "4.73908, 4.70688, 4.68014, 4.66388, 4.65955, 4.65825, 4.66335", \ + "6.87533, 6.81675, 6.75702, 6.69942, 6.671, 6.65632, 6.64264", \ + "10.6689, 10.5859, 10.4798, 10.375, 10.292, 10.2355, 10.1986", \ + "16.9441, 16.8396, 16.6862, 16.51, 16.3539, 16.2243, 16.1296" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.25033, 1.25854, 1.26855, 1.27951, 1.28505, 1.28856, 1.2903", \ + "1.40624, 1.40554, 1.41048, 1.41784, 1.42448, 1.42805, 1.43094", \ + "1.84547, 1.83407, 1.82998, 1.8304, 1.83328, 1.83625, 1.83795", \ + "2.85803, 2.82707, 2.8027, 2.78579, 2.7877, 2.78025, 2.77458", \ + "4.98482, 4.9281, 4.8664, 4.81597, 4.78781, 4.79908, 4.74311", \ + "8.77611, 8.69158, 8.59156, 8.47418, 8.40416, 8.3538, 8.31942", \ + "15.0493, 14.9392, 14.7906, 14.5919, 14.4508, 14.3158, 14.1921" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 7.07149; + rise_capacitance : 6.99238; + rise_capacitance_range (4.46242, 6.99238); + fall_capacitance : 7.07149; + fall_capacitance_range (4.48235, 7.07149); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "29.6083, 32.9661, 36.1061, 45.3186, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41615, 2.46204, 2.58287, 2.86034, 3.61694, 5.895, 11.7673" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.883852, 0.932042, 1.05005, 1.32869, 2.08226, 4.36174, 10.2273" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.553756, -0.519737, -0.419653, -0.190891, 0.300017, 1.31266, 3.35542" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.06995, 1.11655, 1.23535, 1.50345, 2.0329, 3.09942, 5.24875" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.803688, 0.84424, 0.941566, 1.17784, 1.67428, 2.68472, 4.72452" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.325887, -0.274994, -0.156166, 0.0955459, 0.62672, 1.69608, 3.84542" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.569917; + rise_capacitance : 0.569523; + rise_capacitance_range (0.495033, 0.569523); + fall_capacitance : 0.569917; + fall_capacitance_range (0.428, 0.569917); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.2042, -14.0908, -13.8968, -12.4316, -15.2761, -15.0509, -21.2186", \ + "-11.3361, -15.2227, -15.0287, -14.7513, -16.408, -16.1828, -22.3505", \ + "-13.558, -13.4472, -17.2507, -16.9733, -18.6299, -18.4048, -24.5724", \ + "-20.6836, -17.7239, -17.5299, -20, -18.9092, -22.6815, -31.6602", \ + "-25.7193, -25.6084, -25.4144, -25.137, -26.7936, -30.566, -36.7337", \ + "-38.8123, -38.7015, -38.5075, -38.23, -39.8867, -39.6616, -45.8292", \ + "-58.2922, -58.1814, -57.9874, -56.5918, -59.3666, -59.1415, -65.3091" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.2042, -14.0908, -13.8968, -12.4316, -15.2761, -15.0509, -21.2186", \ + "-11.3361, -15.2227, -15.0287, -14.7513, -16.408, -16.1828, -22.3505", \ + "-13.558, -13.4472, -17.2507, -16.9733, -18.6299, -18.4048, -24.5724", \ + "-20.6836, -17.7239, -17.5299, -20, -18.9092, -22.6815, -31.6602", \ + "-25.7193, -25.6084, -25.4144, -25.137, -26.7936, -30.566, -36.7337", \ + "-38.8123, -38.7015, -38.5075, -38.23, -39.8867, -39.6616, -45.8292", \ + "-58.2922, -58.1814, -57.9874, -56.5918, -59.3666, -59.1415, -65.3091" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6524, 90.0245", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0926, 33.7836, 37.0957, 43.4395, 51.0092, 65.6637, 89.0358", \ + "27.9142, 29.6052, 32.9173, 39.2611, 46.8308, 61.4853, 88.8549", \ + "19.5574, 21.2484, 24.5604, 28.1935, 38.474, 53.1285, 76.5006" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 84.9847, 91.9302" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6524, 90.0245", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0926, 33.7836, 37.0957, 43.4395, 51.0092, 65.6637, 89.0358", \ + "27.9142, 29.6052, 32.9173, 39.2611, 46.8308, 61.4853, 88.8549", \ + "19.5574, 21.2484, 24.5604, 28.1935, 38.474, 53.1285, 76.5006" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 84.9847, 91.9302" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.013477, 0.0134419, 0.0134965, 0.0135425, 0.0135823, 0.0136231, 0.0136743" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0140405, 0.0140055, 0.0139465, 0.0138903, 0.0138429, 0.013829, 0.0137513" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107923, 0.109167, 0.108743, 0.109032, 0.109377, 0.10861, 0.108405" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0781493, -0.077563, -0.0784129, -0.0774592, -0.0787901, -0.0781399, -0.0783625" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0599879, 0.0652106, 0.0837128, 0.13319, 0.249012, 0.499844, 1.01697" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.259166, 0.265737, 0.290449, 0.350504, 0.482286, 0.75991, 1.3249" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.241871, 0.247144, 0.265588, 0.315207, 0.431002, 0.682115, 1.19908" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0765415, 0.0832158, 0.10747, 0.167885, 0.299624, 0.576432, 1.14167" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27394, 1.2788, 1.29668, 1.34834, 1.47628, 1.74558, 2.316" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01479, 1.02197, 1.04752, 1.11243, 1.25568, 1.5556, 2.17494" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.26786, 1.27183, 1.28938, 1.34217, 1.46875, 1.73861, 2.3094" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02473, 1.03195, 1.05715, 1.12254, 1.26593, 1.56638, 2.18607" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.546345; + rise_capacitance : 0.542654; + rise_capacitance_range (0.48084, 0.542654); + fall_capacitance : 0.546345; + fall_capacitance_range (0.47324, 0.546345); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.4068, -10.2681, -14.0147, -12.4316, -14.9552, -18.2219, -22.97", \ + "-11.1699, -11.0312, -10.7804, -14.3825, -15.7183, -18.985, -23.7331", \ + "-12.666, -12.5273, -12.2764, -15.8786, -17.2144, -20.481, -25.2291", \ + "-18.3862, -15.3987, -15.1479, -17.5, -20.0858, -19.355, -26.9141", \ + "-20.7976, -20.6589, -20.408, -20.0127, -21.3485, -24.6152, -29.3633", \ + "-29.3872, -29.2485, -28.9976, -28.6023, -29.938, -33.2047, -37.9528", \ + "-38.8431, -38.7044, -38.4535, -40.9375, -39.394, -42.6606, -51.4062" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.4068, -10.2681, -14.0147, -12.4316, -14.9552, -18.2219, -22.97", \ + "-11.1699, -11.0312, -10.7804, -14.3825, -15.7183, -18.985, -23.7331", \ + "-12.666, -12.5273, -12.2764, -15.8786, -17.2144, -20.481, -25.2291", \ + "-18.3862, -15.3987, -15.1479, -17.5, -20.0858, -19.355, -26.9141", \ + "-20.7976, -20.6589, -20.408, -20.0127, -21.3485, -24.6152, -29.3633", \ + "-29.3872, -29.2485, -28.9976, -28.6023, -29.938, -33.2047, -37.9528", \ + "-38.8431, -38.7044, -38.4535, -40.9375, -39.394, -42.6606, -51.4062" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.3193, 34.8164, 37.7558, 40.6836, 49.8587, 67.2294, 91.9235", \ + "33.826, 35.3232, 38.2626, 43.922, 50.3655, 67.7362, 92.4303", \ + "34.7898, 36.287, 39.2264, 44.8858, 51.3293, 68.7, 93.3941", \ + "33.689, 34.0179, 36.9573, 43.6452, 53.0577, 70.4284, 93.125", \ + "35.9927, 37.4898, 40.4292, 42.0911, 52.5321, 69.9028, 94.5969", \ + "34.9415, 36.4386, 39.378, 45.0374, 51.4809, 68.8516, 89.5482", \ + "28.8416, 30.3387, 33.2781, 40.9375, 49.3785, 62.7517, 87.4458" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.3193, 34.8164, 37.7558, 40.6836, 49.8587, 67.2294, 91.9235", \ + "33.826, 35.3232, 38.2626, 43.922, 50.3655, 67.7362, 92.4303", \ + "34.7898, 36.287, 39.2264, 44.8858, 51.3293, 68.7, 93.3941", \ + "33.689, 34.0179, 36.9573, 43.6452, 53.0577, 70.4284, 93.125", \ + "35.9927, 37.4898, 40.4292, 42.0911, 52.5321, 69.9028, 94.5969", \ + "34.9415, 36.4386, 39.378, 45.0374, 51.4809, 68.8516, 89.5482", \ + "28.8416, 30.3387, 33.2781, 40.9375, 49.3785, 62.7517, 87.4458" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0231786, -0.0237504, -0.024538, -0.0244433, -0.024979, -0.0247491, -0.0245288" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0548423, 0.0540943, 0.0545381, 0.0548191, 0.054899, 0.0542963, 0.0543032" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105973, 0.105271, 0.104754, 0.104406, 0.104351, 0.103969, 0.103356" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0753399, -0.0745063, -0.0748316, -0.0752007, -0.0756302, -0.0748086, -0.0747977" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0552527, 0.0618634, 0.0834593, 0.14136, 0.277957, 0.573871, 1.18555" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.295323, 0.300976, 0.326998, 0.395224, 0.547891, 0.871417, 1.52852" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.278983, 0.28564, 0.306668, 0.364604, 0.501877, 0.797424, 1.40819" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0703427, 0.0755698, 0.101668, 0.169842, 0.323471, 0.645704, 1.30296" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27249, 1.27919, 1.30097, 1.36228, 1.50952, 1.83816, 2.52161" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.04594, 1.05073, 1.07829, 1.15029, 1.31754, 1.66618, 2.39296" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.3079, 1.31429, 1.33563, 1.39709, 1.54456, 1.8721, 2.5576" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01407, 1.01852, 1.04592, 1.11858, 1.28603, 1.63423, 2.36126" \ + ); + } + } + } + } + cell (ICGx4_ASAP7_75t_SL) { + area : 0.30618; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 26915; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29269.2; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28374.8; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30729.1; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28263.7; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30618; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29303.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36399.2; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28071.8; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29532.2; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29421; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26699.1; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29466.4; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.27577, 10.2593, 11.9875, 15.0463, 20.7226, 31.7834, 53.7963", \ + "10.4485, 11.4141, 13.1175, 16.1757, 21.8252, 32.875, 54.8954", \ + "12.3716, 13.3529, 15.0836, 18.139, 23.803, 34.842, 56.8405", \ + "15.4459, 16.4695, 18.2235, 21.3302, 27.0413, 38.1031, 60.0439", \ + "20.2496, 21.3508, 23.2125, 26.4369, 32.2, 43.2687, 65.2437", \ + "27.6175, 28.8195, 30.8382, 34.3354, 40.4326, 51.6482, 73.872", \ + "39.105, 40.4514, 42.7395, 46.5926, 53.191, 65.1291, 87.9653" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.95269, 6.2863, 9.12813, 14.9919, 27.0601, 51.7176, 101.645", \ + "5.14444, 6.45411, 9.25425, 15.0662, 27.099, 51.7407, 101.663", \ + "5.85365, 7.12612, 9.81463, 15.4695, 27.3554, 51.8545, 101.691", \ + "7.11308, 8.25444, 10.8271, 16.3555, 27.9768, 52.2292, 101.814", \ + "9.42519, 10.4731, 12.8614, 18.0851, 29.2608, 53.295, 102.271", \ + "13.1885, 14.1555, 16.3678, 21.3036, 32.1805, 55.4516, 104.425", \ + "19.3303, 20.1563, 22.2546, 26.8622, 37.3225, 60.196, 108.519" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.31093, 10.2555, 11.9134, 14.8466, 20.4142, 31.4358, 53.4543", \ + "10.454, 11.3774, 12.9966, 15.9148, 21.4541, 32.4681, 54.4748", \ + "12.3991, 13.3153, 14.9144, 17.8203, 23.3585, 34.3662, 56.369", \ + "15.3987, 16.3391, 17.8918, 20.7789, 26.2914, 37.2584, 59.2484", \ + "19.7532, 20.6892, 22.2546, 25.0939, 30.5041, 41.4253, 63.389", \ + "25.8677, 26.7596, 28.3225, 31.1297, 36.4487, 47.2601, 69.1876", \ + "34.7711, 35.6543, 37.2712, 40.0385, 45.2621, 56.0084, 77.6555" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.7886, 6.08015, 8.81013, 14.5712, 26.6315, 51.3753, 101.403", \ + "4.90417, 6.17866, 8.86835, 14.5944, 26.6374, 51.3753, 101.421", \ + "5.44887, 6.67965, 9.24302, 14.829, 26.7641, 51.418, 101.417", \ + "6.28202, 7.42911, 9.91064, 15.3089, 27.0759, 51.5426, 101.465", \ + "7.39784, 8.46619, 10.8028, 15.9211, 27.3649, 51.893, 101.505", \ + "8.51568, 9.5097, 11.6888, 16.637, 27.7819, 51.9238, 102.18", \ + "9.8139, 10.7365, 12.8638, 17.5763, 28.5891, 52.1457, 101.758" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.56252, 10.579, 12.4089, 15.7845, 22.0121, 34.2276, 58.5363", \ + "10.554, 11.5456, 13.3533, 16.676, 22.9172, 35.1125, 59.4204", \ + "11.7229, 12.7292, 14.5198, 17.8793, 24.1354, 36.3162, 60.6213", \ + "13.3871, 14.3769, 16.1701, 19.414, 25.6978, 37.9152, 62.2377", \ + "15.3075, 16.3568, 18.1353, 21.4454, 27.6889, 39.8829, 64.2199", \ + "17.0708, 18.2106, 20.1182, 23.481, 29.7432, 42.1045, 66.6386", \ + "17.293, 18.5672, 20.7103, 24.2979, 30.8182, 43.3648, 68.4932" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.58559, 7.14092, 10.4516, 17.2453, 31.1738, 59.5291, 116.827", \ + "5.78331, 7.32364, 10.5993, 17.3347, 31.232, 59.5596, 116.841", \ + "6.2781, 7.81703, 11.0098, 17.6781, 31.4678, 59.6964, 116.876", \ + "7.14956, 8.57515, 11.6494, 18.2202, 31.9165, 59.9517, 117.066", \ + "9.01949, 10.2695, 13.2017, 19.4551, 32.8013, 60.7807, 117.456", \ + "12.3099, 13.3841, 16.1092, 22.018, 35.063, 63.0362, 119.94", \ + "17.8719, 18.7912, 21.0765, 26.5817, 39.1895, 66.4735, 122.349" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "9.27577, 10.2593, 11.9875, 15.0463, 20.7226, 31.7834, 53.7963", \ + "10.4485, 11.4141, 13.1175, 16.1757, 21.8252, 32.875, 54.8954", \ + "12.3716, 13.3529, 15.0836, 18.139, 23.803, 34.842, 56.8405", \ + "15.4459, 16.4695, 18.2235, 21.3302, 27.0413, 38.1031, 60.0439", \ + "20.2496, 21.3508, 23.2125, 26.4369, 32.2, 43.2687, 65.2437", \ + "27.6175, 28.8195, 30.8382, 34.3354, 40.4326, 51.6482, 73.872", \ + "39.105, 40.4514, 42.7395, 46.5926, 53.191, 65.1291, 87.9653" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "4.95269, 6.2863, 9.12813, 14.9919, 27.0601, 51.7176, 101.645", \ + "5.14444, 6.45411, 9.25425, 15.0662, 27.099, 51.7407, 101.663", \ + "5.85365, 7.12612, 9.81463, 15.4695, 27.3554, 51.8545, 101.691", \ + "7.11308, 8.25444, 10.8271, 16.3555, 27.9768, 52.2292, 101.814", \ + "9.42519, 10.4731, 12.8614, 18.0851, 29.2608, 53.295, 102.271", \ + "13.1885, 14.1555, 16.3678, 21.3036, 32.1805, 55.4516, 104.425", \ + "19.3303, 20.1563, 22.2546, 26.8622, 37.3225, 60.196, 108.519" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.42485, 1.386, 1.36069, 1.35074, 1.34707, 1.34592, 1.34489", \ + "1.47525, 1.43149, 1.40086, 1.38638, 1.38118, 1.38023, 1.37852", \ + "1.64683, 1.58804, 1.53811, 1.51081, 1.49912, 1.49178, 1.48884", \ + "2.03809, 1.95968, 1.87888, 1.82059, 1.78811, 1.77282, 1.76336", \ + "2.86292, 2.75777, 2.63213, 2.525, 2.44905, 2.40528, 2.37668", \ + "4.49439, 4.37044, 4.19304, 3.99945, 3.84577, 3.7402, 3.6752", \ + "7.6757, 7.52852, 7.30175, 7.00927, 6.72105, 6.49413, 6.33886" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.660181, 0.621544, 0.596608, 0.587015, 0.583555, 0.582654, 0.58141", \ + "0.711863, 0.669453, 0.635953, 0.622666, 0.613173, 0.608968, 0.606867", \ + "0.885152, 0.826765, 0.778182, 0.743398, 0.724084, 0.720133, 0.712188", \ + "1.27403, 1.19607, 1.11664, 1.06748, 1.04113, 1.01652, 0.992912", \ + "2.09592, 1.99415, 1.86716, 1.76723, 1.67391, 1.66087, 1.60251", \ + "3.72925, 3.60459, 3.42283, 3.2335, 3.07924, 2.93349, 2.95909", \ + "6.90968, 6.76292, 6.53329, 6.23392, 5.95591, 5.75578, 5.63776" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.70637, 1.67423, 1.65656, 1.65035, 1.64834, 1.6481, 1.64722", \ + "1.75355, 1.71904, 1.69729, 1.68736, 1.68412, 1.68343, 1.68295", \ + "1.91336, 1.86867, 1.83232, 1.81177, 1.80227, 1.79805, 1.79608", \ + "2.27904, 2.22196, 2.16482, 2.12555, 2.10239, 2.08942, 2.08217", \ + "2.95013, 2.88143, 2.80563, 2.73966, 2.68648, 2.65641, 2.64012", \ + "4.0984, 4.01842, 3.92715, 3.83198, 3.74837, 3.68978, 3.65389", \ + "6.20655, 6.11514, 6.00966, 5.88736, 5.7681, 5.66549, 5.59482" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.06342, 1.03148, 1.01501, 1.00881, 1.00704, 1.00693, 1.00598", \ + "1.11196, 1.07738, 1.05333, 1.04348, 1.03677, 1.03178, 1.03084", \ + "1.27402, 1.2303, 1.1892, 1.16694, 1.15729, 1.156, 1.155", \ + "1.63744, 1.581, 1.52274, 1.48751, 1.47514, 1.44507, 1.43679", \ + "2.30587, 2.23874, 2.16102, 2.1028, 2.0498, 2.04164, 1.99973", \ + "3.45443, 3.37364, 3.27874, 3.18793, 3.1027, 3.06642, 3.09993", \ + "5.56051, 5.46479, 5.36078, 5.23722, 5.14837, 5.0543, 4.97698" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.58679; + rise_capacitance : 2.51185; + rise_capacitance_range (1.7885, 2.51185); + fall_capacitance : 2.58679; + fall_capacitance_range (1.91296, 2.58679); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.54492, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.952091, 0.974829, 1.04569, 1.24375, 1.75584, 2.9473, 5.52331" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.294014, 0.318496, 0.390937, 0.585254, 1.09903, 2.28638, 4.86288" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.172219, -0.155962, -0.10951, 0.000983545, 0.244146, 0.745794, 1.76393" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46575, 0.488321, 0.545891, 0.672846, 0.93585, 1.47108, 2.54168" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372211, 0.388038, 0.435818, 0.54836, 0.788841, 1.29316, 2.30958" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.085273, -0.0628551, -0.00322101, 0.119835, 0.383161, 0.916425, 1.98858" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.508217; + rise_capacitance : 0.508217; + rise_capacitance_range (0.436376, 0.508217); + fall_capacitance : 0.508124; + fall_capacitance_range (0.371694, 0.508124); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.1318, -10.0746, -9.9796, -8.79639, -9.95135, -11.359, -19.1296", \ + "-11.263, -11.2058, -11.1107, -10.9981, -11.0825, -12.4901, -20.2608", \ + "-9.48146, -9.42426, -9.32922, -13.2141, -13.2985, -14.7061, -22.4768", \ + "-16.6748, -13.6711, -13.5761, -16.3281, -17.5453, -18.953, -25.5957", \ + "-21.4816, -21.4244, -21.3294, -21.2167, -21.3011, -26.7063, -30.4794", \ + "-34.0263, -29.9716, -29.8766, -29.7639, -33.8458, -35.2535, -43.0241", \ + "-51.2659, -51.2087, -51.1136, -49.8828, -51.0854, -56.4905, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.1318, -10.0746, -9.9796, -8.79639, -9.95135, -11.359, -19.1296", \ + "-11.263, -11.2058, -11.1107, -10.9981, -11.0825, -12.4901, -20.2608", \ + "-9.48146, -9.42426, -9.32922, -13.2141, -13.2985, -14.7061, -22.4768", \ + "-16.6748, -13.6711, -13.5761, -16.3281, -17.5453, -18.953, -25.5957", \ + "-21.4816, -21.4244, -21.3294, -21.2167, -21.3011, -26.7063, -30.4794", \ + "-34.0263, -29.9716, -29.8766, -29.7639, -33.8458, -35.2535, -43.0241", \ + "-51.2659, -51.2087, -51.1136, -49.8828, -51.0854, -56.4905, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2472, 20.7087, 21.6446, 24.6582, 31.62, 44.5555, 57.7533", \ + "20.3942, 20.8556, 21.7915, 27.7126, 31.767, 44.7025, 57.9002", \ + "20.6576, 21.1191, 22.0549, 27.976, 32.0304, 44.9659, 58.1636", \ + "23.0625, 21.524, 22.4598, 25.6417, 32.4353, 45.3708, 60.5685", \ + "19.4339, 19.8954, 20.8313, 26.7523, 34.8042, 43.7422, 56.94", \ + "16.0807, 16.5421, 21.4755, 23.3991, 31.451, 40.389, 53.5867", \ + "9.66254, 10.124, 11.0599, 14.1016, 21.0353, 29.9733, 47.1686" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.062, 20.0662, 20.1028, 17.3608, 21.1054, 24.5311, 38.5446", \ + "21.2441, 21.2484, 21.2849, 21.4699, 22.2875, 25.7133, 39.7267", \ + "23.5644, 23.5687, 19.6077, 23.7902, 24.6079, 28.0336, 42.0471", \ + "25.083, 24.0363, 24.0728, 25.3906, 29.0729, 32.4987, 43.6426", \ + "32.2597, 32.2639, 32.3005, 32.4855, 33.3031, 36.7288, 46.7448", \ + "45.9052, 45.9094, 45.946, 42.1335, 46.9486, 50.3744, 56.3928", \ + "65.9543, 65.9586, 65.9951, 63.3008, 66.9977, 70.4235, 76.4419" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2472, 20.7087, 21.6446, 24.6582, 31.62, 44.5555, 57.7533", \ + "20.3942, 20.8556, 21.7915, 27.7126, 31.767, 44.7025, 57.9002", \ + "20.6576, 21.1191, 22.0549, 27.976, 32.0304, 44.9659, 58.1636", \ + "23.0625, 21.524, 22.4598, 25.6417, 32.4353, 45.3708, 60.5685", \ + "19.4339, 19.8954, 20.8313, 26.7523, 34.8042, 43.7422, 56.94", \ + "16.0807, 16.5421, 21.4755, 23.3991, 31.451, 40.389, 53.5867", \ + "9.66254, 10.124, 11.0599, 14.1016, 21.0353, 29.9733, 47.1686" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.062, 20.0662, 20.1028, 17.3608, 21.1054, 24.5311, 38.5446", \ + "21.2441, 21.2484, 21.2849, 21.4699, 22.2875, 25.7133, 39.7267", \ + "23.5644, 23.5687, 19.6077, 23.7902, 24.6079, 28.0336, 42.0471", \ + "25.083, 24.0363, 24.0728, 25.3906, 29.0729, 32.4987, 43.6426", \ + "32.2597, 32.2639, 32.3005, 32.4855, 33.3031, 36.7288, 46.7448", \ + "45.9052, 45.9094, 45.946, 42.1335, 46.9486, 50.3744, 56.3928", \ + "65.9543, 65.9586, 65.9951, 63.3008, 66.9977, 70.4235, 76.4419" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00696947, 0.00697596, 0.00702697, 0.00707906, 0.00709538, 0.00713508, 0.00718378" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00752561, 0.00743556, 0.0074319, 0.00738593, 0.00733408, 0.00733409, 0.00724894" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0870457, 0.0872066, 0.0871467, 0.0870668, 0.0876565, 0.0872318, 0.0869474" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0697021, -0.0688127, -0.0698511, -0.0700146, -0.0700974, -0.0697364, -0.0698882" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0539357, 0.05932, 0.0775803, 0.126891, 0.242667, 0.493177, 1.00995" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.255957, 0.262385, 0.287095, 0.346993, 0.478917, 0.755286, 1.32064" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218883, 0.224334, 0.242269, 0.291409, 0.40763, 0.657987, 1.17479" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0903998, 0.0972137, 0.121468, 0.181726, 0.313527, 0.58945, 1.15477" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.571477, 0.576139, 0.59553, 0.651064, 0.780597, 1.06655, 1.66487" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.667689, 0.674601, 0.702201, 0.769829, 0.919643, 1.23786, 1.89293" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.617801, 0.621934, 0.640958, 0.69681, 0.826489, 1.11251, 1.71124" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.622076, 0.629156, 0.656228, 0.72439, 0.873712, 1.19194, 1.8463" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.510251; + rise_capacitance : 0.506515; + rise_capacitance_range (0.445919, 0.506515); + fall_capacitance : 0.510251; + fall_capacitance_range (0.440052, 0.510251); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.2954, -6.2151, -6.07108, -8.79639, -11.1916, -11.0762, -19.1394", \ + "-7.09733, -7.01452, -6.8705, -10.6664, -11.991, -11.8756, -19.9388", \ + "-8.65794, -8.57514, -8.43112, -12.227, -13.5516, -13.4362, -21.4994", \ + "-14.5728, -11.5435, -11.3995, -14.0625, -12.5224, -16.4046, -23.3398", \ + "-16.9514, -16.8686, -16.7245, -16.5229, -17.8475, -21.7296, -25.7954", \ + "-25.1552, -25.0724, -20.9309, -24.7268, -26.0514, -25.936, -33.9992", \ + "-35.7752, -35.6923, -31.5508, -34.2285, -32.6738, -36.5559, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.2954, -6.2151, -6.07108, -8.79639, -11.1916, -11.0762, -19.1394", \ + "-7.09733, -7.01452, -6.8705, -10.6664, -11.991, -11.8756, -19.9388", \ + "-8.65794, -8.57514, -8.43112, -12.227, -13.5516, -13.4362, -21.4994", \ + "-14.5728, -11.5435, -11.3995, -14.0625, -12.5224, -16.4046, -23.3398", \ + "-16.9514, -16.8686, -16.7245, -16.5229, -17.8475, -21.7296, -25.7954", \ + "-25.1552, -25.0724, -20.9309, -24.7268, -26.0514, -25.936, -33.9992", \ + "-35.7752, -35.6923, -31.5508, -34.2285, -32.6738, -36.5559, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.988, 19.6461, 20.9585, 24.6582, 32.7241, 42.798, 57.9766", \ + "19.2, 19.8581, 21.1705, 27.7776, 32.936, 43.01, 58.1886", \ + "23.5984, 20.259, 25.5689, 28.1785, 33.3369, 43.4109, 58.5895", \ + "21.5586, 20.9686, 26.2785, 26.6562, 34.0466, 44.1205, 60.4679", \ + "23.8849, 24.543, 25.8554, 28.465, 33.6234, 43.6974, 58.876", \ + "23.0387, 23.6968, 25.0091, 27.6187, 32.7772, 42.8511, 62.0273", \ + "17.3486, 18.0067, 19.3191, 23.0469, 31.0847, 41.1586, 56.3372" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2255, 20.2344, 16.2547, 17.3608, 20.9633, 28.2458, 38.5544", \ + "21.0759, 17.0873, 17.1051, 21.1382, 21.8137, 25.0987, 39.4048", \ + "18.7434, 18.7523, 18.7701, 18.8057, 23.4787, 26.7637, 41.0697", \ + "22.981, 21.9388, 21.9566, 23.125, 26.6652, 29.9502, 41.3867", \ + "27.7294, 27.7383, 27.7561, 27.7917, 28.4672, 31.7522, 46.0583", \ + "37.0341, 37.043, 37.0607, 37.0963, 37.7718, 41.0569, 47.3679", \ + "50.4636, 50.4725, 50.4902, 47.6465, 51.2013, 54.4864, 60.7974" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.988, 19.6461, 20.9585, 24.6582, 32.7241, 42.798, 57.9766", \ + "19.2, 19.8581, 21.1705, 27.7776, 32.936, 43.01, 58.1886", \ + "23.5984, 20.259, 25.5689, 28.1785, 33.3369, 43.4109, 58.5895", \ + "21.5586, 20.9686, 26.2785, 26.6562, 34.0466, 44.1205, 60.4679", \ + "23.8849, 24.543, 25.8554, 28.465, 33.6234, 43.6974, 58.876", \ + "23.0387, 23.6968, 25.0091, 27.6187, 32.7772, 42.8511, 62.0273", \ + "17.3486, 18.0067, 19.3191, 23.0469, 31.0847, 41.1586, 56.3372" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.2255, 20.2344, 16.2547, 17.3608, 20.9633, 28.2458, 38.5544", \ + "21.0759, 17.0873, 17.1051, 21.1382, 21.8137, 25.0987, 39.4048", \ + "18.7434, 18.7523, 18.7701, 18.8057, 23.4787, 26.7637, 41.0697", \ + "22.981, 21.9388, 21.9566, 23.125, 26.6652, 29.9502, 41.3867", \ + "27.7294, 27.7383, 27.7561, 27.7917, 28.4672, 31.7522, 46.0583", \ + "37.0341, 37.043, 37.0607, 37.0963, 37.7718, 41.0569, 47.3679", \ + "50.4636, 50.4725, 50.4902, 47.6465, 51.2013, 54.4864, 60.7974" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0295988, -0.030164, -0.030973, -0.030884, -0.0314427, -0.0312343, -0.0309944" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0483614, 0.0475301, 0.0479185, 0.0479744, 0.0481034, 0.0476934, 0.0477802" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0897177, 0.0893985, 0.0887575, 0.088433, 0.0884368, 0.0881573, 0.0875752" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0727841, -0.0718207, -0.0719265, -0.0721104, -0.0721721, -0.0718747, -0.0720137" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0487512, 0.0554899, 0.076651, 0.134566, 0.270939, 0.566405, 1.17782" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.29306, 0.297914, 0.324123, 0.3931, 0.545407, 0.868179, 1.52501" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.260816, 0.267805, 0.288237, 0.346038, 0.482439, 0.778299, 1.38881" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795641, 0.0847606, 0.110598, 0.179249, 0.331557, 0.654163, 1.31111" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.56602, 0.573105, 0.596544, 0.661122, 0.815786, 1.15885, 1.88017" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.704562, 0.71004, 0.738004, 0.814448, 0.988715, 1.35914, 2.12008" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.6593, 0.666419, 0.68968, 0.753303, 0.908495, 1.25153, 1.97302" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.610685, 0.616532, 0.644836, 0.72109, 0.895642, 1.2651, 2.02611" \ + ); + } + } + } + } + cell (ICGx5_ASAP7_75t_SL) { + area : 0.32076; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 29750; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 33031.6; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31209.8; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34491.6; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 31098.7; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34380.5; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32138.2; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40161.7; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30906.8; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32367.2; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32256.1; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29532.9; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32610.4; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.2298, 11.0823, 12.5659, 15.1895, 19.9545, 28.9786, 46.8307", \ + "11.4139, 12.2517, 13.7279, 16.3226, 21.0696, 30.0985, 47.9465", \ + "13.5063, 14.355, 15.8195, 18.4422, 23.1591, 32.1815, 50.0066", \ + "16.8051, 17.6755, 19.187, 21.8395, 26.591, 35.6021, 53.4263", \ + "21.9852, 22.9056, 24.5102, 27.2194, 32.1221, 41.1521, 58.943", \ + "29.8862, 30.8483, 32.6522, 35.611, 40.7438, 50.0704, 68.0142", \ + "42.1562, 43.2714, 45.2133, 48.5719, 54.1646, 64.0228, 82.5417" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.56811, 6.57982, 8.82127, 13.5809, 23.423, 43.6178, 84.7316", \ + "5.67393, 6.68229, 8.91242, 13.6523, 23.4568, 43.6392, 84.7371", \ + "6.43866, 7.39675, 9.50501, 14.0916, 23.7698, 43.7793, 84.7905", \ + "7.77985, 8.6652, 10.6508, 15.0739, 24.5107, 44.2439, 85.0022", \ + "10.3218, 11.1019, 12.923, 17.0204, 26.1113, 45.3928, 85.6227", \ + "14.5368, 15.2097, 16.8753, 20.6511, 29.3259, 48.4303, 87.6607", \ + "21.3136, 21.8319, 23.3699, 26.8841, 34.947, 53.0339, 92.0267" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.1786, 10.9786, 12.3743, 14.8522, 19.4229, 28.37, 46.1984", \ + "11.2905, 12.0709, 13.4499, 15.8892, 20.4544, 29.3901, 47.2169", \ + "13.3325, 14.1033, 15.458, 17.8872, 22.408, 31.3473, 49.175", \ + "16.401, 17.15, 18.5126, 20.887, 25.4414, 34.3165, 52.1146", \ + "20.7989, 21.5546, 22.9029, 25.2968, 29.742, 38.592, 56.3962", \ + "26.9242, 27.7122, 29.067, 31.4159, 35.779, 44.5342, 62.2382", \ + "35.8487, 36.6242, 37.9989, 40.2601, 44.6398, 53.2323, 70.7302" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.10049, 6.0962, 8.2817, 12.9212, 22.7316, 43.031, 84.346", \ + "5.10647, 6.1101, 8.29457, 12.9286, 22.7363, 43.0359, 84.3449", \ + "5.59101, 6.55962, 8.65041, 13.1566, 22.8672, 43.0884, 84.3277", \ + "6.29464, 7.23687, 9.21868, 13.6253, 23.1627, 43.2362, 84.4163", \ + "7.22276, 8.09522, 10.0095, 14.2106, 23.6859, 43.6418, 84.5311", \ + "8.1151, 8.9332, 10.792, 14.8974, 24.0884, 43.6291, 85.0975", \ + "9.2301, 10.0607, 11.8503, 15.8719, 24.6294, 43.9487, 85.1278" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.6012, 11.4639, 13.0147, 15.8569, 21.073, 31.0466, 50.7419", \ + "11.6206, 12.4793, 14.0104, 16.8007, 21.998, 31.9558, 51.6784", \ + "13.0151, 13.8735, 15.3925, 18.1925, 23.3968, 33.3416, 53.0421", \ + "14.9062, 15.7497, 17.2569, 20.0033, 25.1969, 35.1557, 54.8438", \ + "17.1775, 18.0636, 19.5995, 22.3545, 27.5033, 37.3717, 57.1717", \ + "19.453, 20.3935, 22.0719, 24.8848, 30.0956, 40.0633, 59.9181", \ + "20.4151, 21.4763, 23.306, 26.3934, 31.8308, 42.0072, 62.2662" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.25991, 7.42483, 10.0243, 15.5082, 26.7964, 49.9096, 96.809", \ + "6.36322, 7.53103, 10.1108, 15.5693, 26.8447, 49.9298, 96.8173", \ + "6.97444, 8.10493, 10.6069, 15.9618, 27.099, 50.0758, 96.8697", \ + "7.92432, 8.9319, 11.3048, 16.5377, 27.6702, 50.3804, 97.1004", \ + "9.94584, 10.8383, 13.062, 17.9797, 28.7464, 51.6126, 97.6661", \ + "13.6072, 14.3502, 16.2685, 20.8318, 31.1347, 53.3144, 99.1787", \ + "19.7421, 20.3318, 21.9627, 26.1915, 35.8448, 57.3515, 102.907" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "10.2298, 11.0823, 12.5659, 15.1895, 19.9545, 28.9786, 46.8307", \ + "11.4139, 12.2517, 13.7279, 16.3226, 21.0696, 30.0985, 47.9465", \ + "13.5063, 14.355, 15.8195, 18.4422, 23.1591, 32.1815, 50.0066", \ + "16.8051, 17.6755, 19.187, 21.8395, 26.591, 35.6021, 53.4263", \ + "21.9852, 22.9056, 24.5102, 27.2194, 32.1221, 41.1521, 58.943", \ + "29.8862, 30.8483, 32.6522, 35.611, 40.7438, 50.0704, 68.0142", \ + "42.1562, 43.2714, 45.2133, 48.5719, 54.1646, 64.0228, 82.5417" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "5.56811, 6.57982, 8.82127, 13.5809, 23.423, 43.6178, 84.7316", \ + "5.67393, 6.68229, 8.91242, 13.6523, 23.4568, 43.6392, 84.7371", \ + "6.43866, 7.39675, 9.50501, 14.0916, 23.7698, 43.7793, 84.7905", \ + "7.77985, 8.6652, 10.6508, 15.0739, 24.5107, 44.2439, 85.0022", \ + "10.3218, 11.1019, 12.923, 17.0204, 26.1113, 45.3928, 85.6227", \ + "14.5368, 15.2097, 16.8753, 20.6511, 29.3259, 48.4303, 87.6607", \ + "21.3136, 21.8319, 23.3699, 26.8841, 34.947, 53.0339, 92.0267" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.71583, 1.65183, 1.58995, 1.55355, 1.53701, 1.5289, 1.52357", \ + "1.76195, 1.69584, 1.6294, 1.58977, 1.57081, 1.56118, 1.55528", \ + "1.94904, 1.86983, 1.78409, 1.72142, 1.69052, 1.67194, 1.66375", \ + "2.37778, 2.2788, 2.15895, 2.05383, 1.99267, 1.95733, 1.93776", \ + "3.27561, 3.15464, 2.98788, 2.8176, 2.68897, 2.60589, 2.55603", \ + "5.04014, 4.9029, 4.68053, 4.41399, 4.17396, 4.00254, 3.88713", \ + "8.42284, 8.27154, 8.01039, 7.6347, 7.21902, 6.87223, 6.6298" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.951341, 0.887623, 0.826144, 0.79017, 0.773937, 0.766016, 0.76052", \ + "1.00107, 0.933159, 0.866185, 0.820792, 0.800941, 0.790241, 0.783698", \ + "1.1885, 1.10976, 1.01947, 0.959, 0.912695, 0.902426, 0.88665", \ + "1.61386, 1.51491, 1.39791, 1.29605, 1.23272, 1.17867, 1.16489", \ + "2.50946, 2.39255, 2.22337, 2.04547, 1.94775, 1.82722, 1.78018", \ + "4.27424, 4.13222, 3.9162, 3.64164, 3.406, 3.28876, 3.1267", \ + "7.65831, 7.50591, 7.24403, 6.86791, 6.4549, 6.10604, 5.93354" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.95364, 1.90384, 1.86366, 1.84322, 1.83335, 1.82974, 1.82519", \ + "1.99291, 1.94237, 1.89896, 1.8754, 1.86493, 1.85922, 1.85718", \ + "2.15593, 2.09587, 2.0385, 2.00059, 1.98227, 1.97239, 1.96679", \ + "2.52721, 2.4575, 2.38382, 2.319, 2.2794, 2.25815, 2.24768", \ + "3.20706, 3.12417, 3.02818, 2.94038, 2.87184, 2.82485, 2.80088", \ + "4.3635, 4.27536, 4.16478, 4.05086, 3.94511, 3.86306, 3.81742", \ + "6.49688, 6.40508, 6.27444, 6.13168, 5.98461, 5.85977, 5.76665" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.31171, 1.26197, 1.22242, 1.20222, 1.1923, 1.18903, 1.18531", \ + "1.35255, 1.29981, 1.25627, 1.22862, 1.2147, 1.20782, 1.20525", \ + "1.51723, 1.4573, 1.39965, 1.35964, 1.32766, 1.32785, 1.32597", \ + "1.88595, 1.81457, 1.74541, 1.67989, 1.64318, 1.61371, 1.60226", \ + "2.56536, 2.48159, 2.38443, 2.29814, 2.26426, 2.21083, 2.1767", \ + "3.71898, 3.63016, 3.5195, 3.40961, 3.31942, 3.22837, 3.26967", \ + "5.85349, 5.75874, 5.62986, 5.48448, 5.34122, 5.23826, 5.21622" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 2.58581; + rise_capacitance : 2.51248; + rise_capacitance_range (1.79079, 2.51248); + fall_capacitance : 2.58581; + fall_capacitance_range (1.91168, 2.58581); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.9863, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.952627, 0.975688, 1.04648, 1.24447, 1.75834, 2.94903, 5.53045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.295024, 0.319427, 0.391795, 0.586047, 1.10031, 2.28819, 4.87014" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.171391, -0.155239, -0.108378, 0.00181125, 0.244717, 0.746527, 1.76465" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.466338, 0.489157, 0.546653, 0.673577, 0.936533, 1.4718, 2.54246" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.374236, 0.388732, 0.435806, 0.549132, 0.790182, 1.29387, 2.31025" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0838894, -0.0620002, -0.00241724, 0.120592, 0.383921, 0.91719, 1.98939" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.508156; + rise_capacitance : 0.508156; + rise_capacitance_range (0.436792, 0.508156); + fall_capacitance : 0.508008; + fall_capacitance_range (0.371689, 0.508008); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.66797, -9.68335, -9.72715, -8.79639, -10.3553, -12.1668, -19.1296", \ + "-10.7991, -10.8145, -10.8583, -10.9981, -11.4864, -13.2979, -20.2608", \ + "-13.0151, -13.0305, -13.0743, -13.2141, -13.7024, -15.5139, -22.4768", \ + "-16.1914, -13.2798, -13.3236, -16.3281, -17.9493, -19.7608, -25.5957", \ + "-21.0177, -21.0331, -21.0769, -21.2167, -21.705, -27.5141, -30.4794", \ + "-33.5625, -33.5778, -29.6241, -29.7639, -34.2497, -36.0613, -43.0241", \ + "-50.802, -50.8174, -50.8612, -49.8828, -51.4893, -53.3009, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.61011, -7.60727, -9.46974, -11.5771, -12.5109, -4.2031, 16.4099", \ + "-7.02844, -8.0256, -9.88807, -13.0856, -12.9292, -4.62143, 15.9916", \ + "-7.80792, -8.80508, -10.6676, -13.865, -13.7087, -5.40091, 15.2121", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -11.0414, -2.73368, 15.0098", \ + "-7.22482, -8.22198, -10.0845, -13.2819, -13.1256, -4.81781, 19.7927", \ + "0.599408, -4.39526, -6.25773, -9.45522, -9.29884, 3.00642, 23.6194", \ + "12.2504, 7.2557, 5.39323, -0.683599, -1.64538, 10.6599, 35.2704" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.66797, -9.68335, -9.72715, -8.79639, -10.3553, -12.1668, -19.1296", \ + "-10.7991, -10.8145, -10.8583, -10.9981, -11.4864, -13.2979, -20.2608", \ + "-13.0151, -13.0305, -13.0743, -13.2141, -13.7024, -15.5139, -22.4768", \ + "-16.1914, -13.2798, -13.3236, -16.3281, -17.9493, -19.7608, -25.5957", \ + "-21.0177, -21.0331, -21.0769, -21.2167, -21.705, -27.5141, -30.4794", \ + "-33.5625, -33.5778, -29.6241, -29.7639, -34.2497, -36.0613, -43.0241", \ + "-50.802, -50.8174, -50.8612, -49.8828, -51.4893, -53.3009, -60.2637" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.3083, 20.7609, 21.6792, 24.6582, 31.5528, 44.3622, 57.3412", \ + "20.4494, 20.902, 21.8203, 27.7067, 31.6939, 44.5033, 57.4822", \ + "20.6982, 21.1508, 22.0691, 27.9555, 31.9427, 44.7521, 57.7311", \ + "23.0625, 21.5151, 22.4334, 25.5196, 32.307, 45.1164, 60.0953", \ + "19.1232, 19.5758, 24.4916, 26.3805, 34.3652, 43.1771, 56.156", \ + "19.5131, 19.9657, 20.884, 22.7729, 30.7576, 39.5695, 56.5459", \ + "7.48729, 7.93989, 8.85817, 11.8652, 18.7318, 31.5412, 48.5176" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.7153, 19.7738, 19.9141, 17.3608, 21.4073, 25.1349, 38.5446", \ + "20.8974, 20.9559, 21.0962, 21.4699, 22.5894, 26.317, 39.7267", \ + "23.2177, 23.2763, 23.4166, 23.7902, 24.9097, 28.6373, 42.0471", \ + "24.7559, 23.7438, 23.8841, 25.3906, 25.3773, 33.1024, 43.6426", \ + "31.913, 31.9715, 32.1118, 32.4855, 33.605, 37.3326, 46.7448", \ + "45.5585, 45.617, 45.7573, 42.1335, 47.2505, 46.9806, 56.3928", \ + "65.6076, 65.6661, 65.8065, 63.3008, 67.2996, 71.0272, 76.4419" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.3083, 20.7609, 21.6792, 24.6582, 31.5528, 44.3622, 57.3412", \ + "20.4494, 20.902, 21.8203, 27.7067, 31.6939, 44.5033, 57.4822", \ + "20.6982, 21.1508, 22.0691, 27.9555, 31.9427, 44.7521, 57.7311", \ + "23.0625, 21.5151, 22.4334, 25.5196, 32.307, 45.1164, 60.0953", \ + "19.1232, 19.5758, 24.4916, 26.3805, 34.3652, 43.1771, 56.156", \ + "19.5131, 19.9657, 20.884, 22.7729, 30.7576, 39.5695, 56.5459", \ + "7.48729, 7.93989, 8.85817, 11.8652, 18.7318, 31.5412, 48.5176" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.7153, 19.7738, 19.9141, 17.3608, 21.4073, 25.1349, 38.5446", \ + "20.8974, 20.9559, 21.0962, 21.4699, 22.5894, 26.317, 39.7267", \ + "23.2177, 23.2763, 23.4166, 23.7902, 24.9097, 28.6373, 42.0471", \ + "24.7559, 23.7438, 23.8841, 25.3906, 25.3773, 33.1024, 43.6426", \ + "31.913, 31.9715, 32.1118, 32.4855, 33.605, 37.3326, 46.7448", \ + "45.5585, 45.617, 45.7573, 42.1335, 47.2505, 46.9806, 56.3928", \ + "65.6076, 65.6661, 65.8065, 63.3008, 67.2996, 71.0272, 76.4419" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00771094, 0.00771704, 0.00776805, 0.0077774, 0.00783644, 0.00787612, 0.0079248" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00826633, 0.00820775, 0.00817266, 0.00812666, 0.00807488, 0.00807493, 0.00798984" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0877668, 0.0879319, 0.0878709, 0.0883742, 0.0883803, 0.0879558, 0.0876714" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0689459, -0.0682081, -0.0690947, -0.0692576, -0.0693412, -0.0689806, -0.0691329" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.054806, 0.0601114, 0.078354, 0.127687, 0.243513, 0.494008, 1.01079" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.256514, 0.262875, 0.287665, 0.347573, 0.479851, 0.755843, 1.32124" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219421, 0.225052, 0.242963, 0.292228, 0.408336, 0.658754, 1.17555" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0910264, 0.0977875, 0.122104, 0.182363, 0.313793, 0.590021, 1.15543" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.572461, 0.577224, 0.596759, 0.65193, 0.781528, 1.06743, 1.66569" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.668121, 0.675032, 0.702838, 0.770059, 0.920301, 1.23852, 1.89358" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.618691, 0.623073, 0.642421, 0.697614, 0.827357, 1.11332, 1.712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.622585, 0.629603, 0.656922, 0.724626, 0.874423, 1.19265, 1.847" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.510618; + rise_capacitance : 0.506873; + rise_capacitance_range (0.446279, 0.506873); + fall_capacitance : 0.510618; + fall_capacitance_range (0.440411, 0.510618); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.79248, -9.80312, -9.79488, -8.79639, -10.2472, -11.952, -19.1394", \ + "-10.5919, -10.6025, -10.5943, -10.6664, -11.0466, -12.7515, -19.9388", \ + "-12.1525, -8.16565, -8.15742, -12.227, -12.6072, -14.3121, -21.4994", \ + "-14.0503, -11.134, -11.1257, -14.0625, -15.5755, -17.2804, -23.3398", \ + "-16.4484, -16.4591, -16.4508, -16.5229, -16.9031, -22.6055, -25.7954", \ + "-24.6523, -24.6629, -24.6547, -24.7268, -25.107, -26.8118, -33.9992", \ + "-35.2722, -35.2829, -35.2746, -34.2285, -35.7269, -37.4318, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.79278, -5.78995, -7.65242, -13.7573, -10.6935, -2.38577, 18.2272", \ + "-4.85478, -9.84945, -11.7119, -10.9119, -10.7555, -2.44777, 18.1652", \ + "-8.96398, -9.96115, -11.8236, -15.0211, -14.8647, -2.55947, 18.0535", \ + "-7.15332, -10.1353, -11.9978, -14.0625, -15.0389, -6.73117, 15.0098", \ + "-8.50272, -9.49989, -11.3624, -14.5598, -14.4035, -6.09571, 14.5173", \ + "-4.80849, -5.80565, -11.6656, -14.8631, -14.7067, -2.40148, 18.2115", \ + "3.30505, -1.68961, -3.55208, -9.62891, -10.5907, 1.71456, 26.3251" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.79248, -9.80312, -9.79488, -8.79639, -10.2472, -11.952, -19.1394", \ + "-10.5919, -10.6025, -10.5943, -10.6664, -11.0466, -12.7515, -19.9388", \ + "-12.1525, -8.16565, -8.15742, -12.227, -12.6072, -14.3121, -21.4994", \ + "-14.0503, -11.134, -11.1257, -14.0625, -15.5755, -17.2804, -23.3398", \ + "-16.4484, -16.4591, -16.4508, -16.5229, -16.9031, -22.6055, -25.7954", \ + "-24.6523, -24.6629, -24.6547, -24.7268, -25.107, -26.8118, -33.9992", \ + "-35.2722, -35.2829, -35.2746, -34.2285, -35.7269, -37.4318, -44.6191" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.2649, 19.88, 21.1099, 24.6582, 32.4765, 42.2756, 57.7861", \ + "19.4769, 20.092, 21.3218, 27.7776, 32.6884, 42.4876, 57.9981", \ + "23.8753, 20.4929, 25.7202, 28.1785, 33.0893, 42.8885, 58.399", \ + "22.1124, 21.2025, 26.4299, 26.6562, 33.799, 43.5982, 60.2832", \ + "24.1618, 24.7769, 26.0067, 28.465, 33.3759, 47.1725, 58.6855", \ + "23.3155, 23.9306, 25.1605, 27.6187, 32.5296, 46.3263, 61.8367", \ + "17.6255, 18.2406, 19.4705, 23.0469, 30.8371, 40.6362, 56.1467" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.8398, 19.8788, 19.9818, 17.3608, 21.2992, 24.9201, 38.5544", \ + "20.6902, 20.7292, 16.8348, 21.1382, 22.1496, 25.7705, 39.4048", \ + "22.3552, 18.3967, 18.4997, 18.8057, 23.8146, 27.4355, 41.0697", \ + "22.6147, 21.5832, 21.6862, 23.125, 23.0036, 30.622, 41.3867", \ + "27.3437, 27.3827, 27.4857, 27.7917, 28.8031, 32.424, 46.0583", \ + "36.6483, 36.6874, 36.7904, 37.0963, 38.1077, 41.7286, 47.3679", \ + "50.0778, 50.1169, 50.2199, 47.6465, 47.5397, 51.1607, 60.7974" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.2649, 19.88, 21.1099, 24.6582, 32.4765, 42.2756, 57.7861", \ + "19.4769, 20.092, 21.3218, 27.7776, 32.6884, 42.4876, 57.9981", \ + "23.8753, 20.4929, 25.7202, 28.1785, 33.0893, 42.8885, 58.399", \ + "22.1124, 21.2025, 26.4299, 26.6562, 33.799, 43.5982, 60.2832", \ + "24.1618, 24.7769, 26.0067, 28.465, 33.3759, 47.1725, 58.6855", \ + "23.3155, 23.9306, 25.1605, 27.6187, 32.5296, 46.3263, 61.8367", \ + "17.6255, 18.2406, 19.4705, 23.0469, 30.8371, 40.6362, 56.1467" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "19.8398, 19.8788, 19.9818, 17.3608, 21.2992, 24.9201, 38.5544", \ + "20.6902, 20.7292, 16.8348, 21.1382, 22.1496, 25.7705, 39.4048", \ + "22.3552, 18.3967, 18.4997, 18.8057, 23.8146, 27.4355, 41.0697", \ + "22.6147, 21.5832, 21.6862, 23.125, 23.0036, 30.622, 41.3867", \ + "27.3437, 27.3827, 27.4857, 27.7917, 28.8031, 32.424, 46.0583", \ + "36.6483, 36.6874, 36.7904, 37.0963, 38.1077, 41.7286, 47.3679", \ + "50.0778, 50.1169, 50.2199, 47.6465, 47.5397, 51.1607, 60.7974" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0288619, -0.029439, -0.0302216, -0.0302809, -0.0307119, -0.0305018, -0.0302621" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.049115, 0.0485661, 0.0491429, 0.0488072, 0.0490443, 0.0486541, 0.0485327" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0905279, 0.0902305, 0.0894779, 0.0894229, 0.0892631, 0.0889694, 0.088387" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.072113, -0.0714757, -0.0718185, -0.0718787, -0.0717662, -0.0715634, -0.0713413" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0495411, 0.05628, 0.0775052, 0.135343, 0.271776, 0.567217, 1.17863" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.293677, 0.298658, 0.324593, 0.39392, 0.546678, 0.868806, 1.52563" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.261667, 0.268639, 0.288693, 0.346687, 0.48345, 0.779157, 1.38968" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0801349, 0.0852595, 0.11124, 0.179867, 0.332531, 0.654733, 1.31168" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.566918, 0.573991, 0.597449, 0.661976, 0.81664, 1.15962, 1.88103" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.705231, 0.710707, 0.738547, 0.815059, 0.989328, 1.35983, 2.12071" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.660253, 0.667409, 0.690636, 0.754232, 0.909191, 1.25269, 1.97407" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.611293, 0.617137, 0.645455, 0.721478, 0.896249, 1.26573, 2.02674" \ + ); + } + } + } + } + cell (ICGx5p33DC_ASAP7_75t_SL) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 55554.2; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 59831.5; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 57014; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 61291.4; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 56902.8; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 61180.3; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 57922.9; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 66976.6; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 58203.5; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 59664; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 59552.8; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 41599; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 57974.4; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.74688, 7.42088, 8.62274, 10.8038, 14.9462, 23.1149, 39.405", \ + "7.66119, 8.34107, 9.57484, 11.7608, 15.9126, 24.076, 40.3646", \ + "9.11941, 9.81807, 11.0475, 13.2716, 17.4564, 25.634, 41.9224", \ + "11.4557, 12.188, 13.4454, 15.7543, 19.966, 28.1859, 44.5019", \ + "15.0711, 15.8863, 17.2651, 19.7042, 24.0888, 32.441, 48.8181", \ + "20.7299, 21.6422, 23.2148, 25.8381, 30.5094, 39.1791, 56.0528", \ + "29.8891, 30.93, 32.724, 35.741, 40.8456, 50.0909, 67.7362" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.24682, 4.27412, 6.36269, 10.6611, 19.4586, 37.3224, 73.2788", \ + "3.58767, 4.59699, 6.61129, 10.8435, 19.5551, 37.3495, 73.2779", \ + "4.06125, 5.02704, 7.01464, 11.2206, 19.7961, 37.4919, 73.3427", \ + "5.04639, 5.97765, 7.88144, 11.8834, 20.4222, 37.8333, 73.5032", \ + "6.67495, 7.56688, 9.41705, 13.3197, 21.5631, 38.7539, 74.424", \ + "9.39462, 10.2579, 12.0375, 15.8736, 23.8754, 40.9252, 75.9401", \ + "13.8835, 14.7266, 16.5196, 20.1982, 28.2451, 44.8509, 79.9058" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.76815, 7.4439, 8.64987, 10.834, 14.9928, 23.1681, 39.4641", \ + "7.68023, 8.36533, 9.59798, 11.7998, 15.9496, 24.1173, 40.4182", \ + "9.15524, 9.85847, 11.0921, 13.3217, 17.5217, 25.6966, 41.9999", \ + "11.519, 12.2564, 13.5541, 15.8454, 20.0791, 28.2879, 44.5779", \ + "15.1816, 16.0095, 17.4004, 19.8236, 24.2094, 32.4506, 48.7501", \ + "20.9724, 21.8877, 23.4732, 26.0969, 30.7543, 38.9744, 55.4276", \ + "30.2961, 31.3584, 33.1451, 36.0152, 40.8023, 49.1738, 65.3991" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.25401, 4.28347, 6.3779, 10.6753, 19.4712, 37.3222, 73.2661", \ + "3.60184, 4.60267, 6.62806, 10.8463, 19.5703, 37.3524, 73.2689", \ + "4.09055, 5.06121, 7.0456, 11.2441, 19.8057, 37.4868, 73.3194", \ + "5.11625, 6.04488, 7.96538, 11.9569, 20.3719, 37.7878, 73.4394", \ + "6.8235, 7.71898, 9.56896, 13.4761, 21.5786, 38.7736, 73.9567", \ + "9.62766, 10.4802, 12.2605, 15.9515, 23.6512, 40.1912, 74.7557", \ + "13.9855, 14.7821, 16.4169, 19.7727, 26.8676, 42.3708, 76.1041" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.75902, 7.47879, 8.80026, 11.2261, 15.832, 24.892, 42.9463", \ + "7.29182, 8.01517, 9.3666, 11.8205, 16.4273, 25.4882, 43.5415", \ + "7.97162, 8.68567, 9.99262, 12.4446, 17.1274, 26.2267, 44.2707", \ + "8.86571, 9.58189, 10.8876, 13.3539, 18.0211, 27.1426, 45.2785", \ + "9.6526, 10.4148, 11.7638, 14.2743, 18.927, 28.1914, 46.3969", \ + "9.69447, 10.5525, 11.9987, 14.622, 19.4638, 28.9515, 47.5553", \ + "7.73358, 8.71969, 10.3901, 13.2166, 18.2692, 28.0248, 47.3887" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.81949, 5.04443, 7.51961, 12.5764, 22.9097, 43.8435, 85.9879", \ + "4.13266, 5.3491, 7.78827, 12.7825, 23.0503, 43.8961, 85.9968", \ + "4.38335, 5.56698, 8.01037, 13.0664, 23.2575, 44.095, 86.1233", \ + "5.10043, 6.25997, 8.62686, 13.5001, 23.7141, 44.3844, 86.3148", \ + "6.4704, 7.53861, 9.80736, 14.6087, 24.6285, 45.417, 87.2555", \ + "8.85503, 9.83426, 12.0087, 16.6549, 26.6492, 47.0428, 88.6925", \ + "13.1065, 13.9599, 15.9603, 20.3449, 30.2214, 50.8538, 92.3871" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.74688, 7.42088, 8.62274, 10.8038, 14.9462, 23.1149, 39.405", \ + "7.66119, 8.34107, 9.57484, 11.7608, 15.9126, 24.076, 40.3646", \ + "9.11941, 9.81807, 11.0475, 13.2716, 17.4564, 25.634, 41.9224", \ + "11.4557, 12.188, 13.4454, 15.7543, 19.966, 28.1859, 44.5019", \ + "15.0711, 15.8863, 17.2651, 19.7042, 24.0888, 32.441, 48.8181", \ + "20.7299, 21.6422, 23.2148, 25.8381, 30.5094, 39.1791, 56.0528", \ + "29.8891, 30.93, 32.724, 35.741, 40.8456, 50.0909, 67.7362" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.24682, 4.27412, 6.36269, 10.6611, 19.4586, 37.3224, 73.2788", \ + "3.58767, 4.59699, 6.61129, 10.8435, 19.5551, 37.3495, 73.2779", \ + "4.06125, 5.02704, 7.01464, 11.2206, 19.7961, 37.4919, 73.3427", \ + "5.04639, 5.97765, 7.88144, 11.8834, 20.4222, 37.8333, 73.5032", \ + "6.67495, 7.56688, 9.41705, 13.3197, 21.5631, 38.7539, 74.424", \ + "9.39462, 10.2579, 12.0375, 15.8736, 23.8754, 40.9252, 75.9401", \ + "13.8835, 14.7266, 16.5196, 20.1982, 28.2451, 44.8509, 79.9058" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.65417, 2.65603, 2.66689, 2.68132, 2.69055, 2.69564, 2.69948", \ + "2.80988, 2.80422, 2.80341, 2.81731, 2.8243, 2.8312, 2.83602", \ + "3.26028, 3.23051, 3.21492, 3.2214, 3.22276, 3.22879, 3.23005", \ + "4.28642, 4.23939, 4.20028, 4.16711, 4.15467, 4.15146, 4.15293", \ + "6.44759, 6.37691, 6.29714, 6.21033, 6.15749, 6.12599, 6.11399", \ + "10.8088, 10.7139, 10.5753, 10.4298, 10.2956, 10.1957, 10.1342", \ + "19.5074, 19.3839, 19.193, 18.9458, 18.6899, 18.4727, 18.3124" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.573883, 0.577263, 0.588864, 0.604057, 0.615837, 0.623717, 0.626247", \ + "0.735201, 0.726824, 0.72907, 0.733736, 0.747388, 0.753781, 0.755749", \ + "1.18036, 1.15546, 1.13934, 1.14643, 1.13825, 1.14263, 1.14866", \ + "2.2032, 2.15443, 2.10356, 2.08453, 2.09007, 2.0703, 2.06921", \ + "4.35975, 4.28903, 4.20243, 4.12648, 4.0759, 4.0561, 4.13523", \ + "8.72019, 8.62015, 8.4842, 8.3308, 8.20297, 8.09914, 8.06324", \ + "17.4159, 17.2928, 17.1005, 16.8539, 16.6103, 16.352, 16.2309" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.32494, 3.32648, 3.33773, 3.35212, 3.35891, 3.36704, 3.37002", \ + "3.47982, 3.47136, 3.47301, 3.48355, 3.49085, 3.49865, 3.50666", \ + "3.93379, 3.90428, 3.8871, 3.89225, 3.89254, 3.90119, 3.90067", \ + "4.97418, 4.9236, 4.8785, 4.84603, 4.83178, 4.82795, 4.83242", \ + "7.17389, 7.09509, 7.00352, 6.92299, 6.8708, 6.82787, 6.81087", \ + "11.0713, 10.9717, 10.831, 10.6789, 10.5468, 10.4466, 10.3883", \ + "17.4971, 17.383, 17.1932, 16.9597, 16.7272, 16.529, 16.3806" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.43883, 1.44119, 1.45209, 1.46622, 1.48019, 1.48405, 1.48697", \ + "1.60011, 1.58978, 1.59132, 1.59996, 1.60836, 1.60995, 1.61118", \ + "2.04889, 2.02352, 2.00864, 2.01475, 2.0065, 2.00728, 2.0146", \ + "3.09138, 3.0426, 2.99922, 2.95906, 2.95439, 2.94797, 2.94081", \ + "5.28173, 5.2057, 5.1144, 5.0272, 4.98013, 4.95681, 4.93288", \ + "9.17647, 9.07561, 8.93645, 8.77293, 8.65104, 8.52694, 8.4887", \ + "15.601, 15.4834, 15.293, 15.0469, 14.7947, 14.5909, 14.4716" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 7.07501; + rise_capacitance : 7.00214; + rise_capacitance_range (4.45674, 7.00214); + fall_capacitance : 7.07501; + fall_capacitance_range (4.47855, 7.07501); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "29.6083, 32.9661, 36.1061, 45.3186, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.42009, 2.46573, 2.58586, 2.86341, 3.62368, 5.90146, 11.7674" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.88818, 0.934951, 1.05336, 1.33165, 2.08599, 4.3617, 10.2283" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.552567, -0.519328, -0.419274, -0.187238, 0.300308, 1.3129, 3.35568" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.07196, 1.11822, 1.23918, 1.50319, 2.03461, 3.10246, 5.25028" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.805964, 0.84481, 0.94216, 1.17298, 1.67463, 2.68482, 4.72484" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.323598, -0.273155, -0.157003, 0.09749, 0.628238, 1.69716, 3.84713" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.569917; + rise_capacitance : 0.569523; + rise_capacitance_range (0.495017, 0.569523); + fall_capacitance : 0.569917; + fall_capacitance_range (0.428, 0.569917); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -12.1101, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -14.2609, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -17.5299, -20, -22.9067, -22.6815, -31.6602", \ + "-23.7269, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-35.147, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -12.1101, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -14.2609, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -17.5299, -20, -22.9067, -22.6815, -31.6602", \ + "-23.7269, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-35.147, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6525, 90.0245", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0924, 33.7834, 37.0954, 43.4393, 51.009, 65.6635, 89.0356", \ + "27.9135, 29.6045, 32.9166, 39.2604, 46.8301, 61.4846, 88.8542", \ + "19.5558, 21.2468, 24.5588, 28.1913, 38.4724, 53.1269, 76.4989" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 80.9872, 91.9302" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.4239, 34.1149, 37.4269, 43.7708, 51.3405, 65.995, 89.367", \ + "33.0814, 34.7724, 38.0844, 44.4283, 51.998, 66.6525, 90.0245", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0924, 33.7834, 37.0954, 43.4393, 51.009, 65.6635, 89.0356", \ + "27.9135, 29.6045, 32.9166, 39.2604, 46.8301, 61.4846, 88.8542", \ + "19.5558, 21.2468, 24.5588, 28.1913, 38.4724, 53.1269, 76.4989" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 80.9872, 91.9302" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0144752, 0.0144298, 0.0144843, 0.0145356, 0.0145699, 0.0146108, 0.0146619" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0150279, 0.0149801, 0.0149339, 0.0149191, 0.0148304, 0.0148165, 0.0147389" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.109114, 0.110155, 0.109731, 0.109159, 0.110365, 0.109597, 0.109392" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0771624, -0.0764605, -0.0774255, -0.0768155, -0.0778028, -0.0771525, -0.077375" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0609769, 0.0661987, 0.0847004, 0.134178, 0.249999, 0.500832, 1.01795" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.260153, 0.266724, 0.291437, 0.35149, 0.48325, 0.760898, 1.32589" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.242872, 0.248133, 0.266575, 0.316195, 0.431989, 0.683103, 1.20007" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0775282, 0.0842016, 0.108457, 0.168873, 0.300649, 0.57742, 1.14266" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.2765, 1.28133, 1.29924, 1.35087, 1.47718, 1.75157, 2.31846" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01662, 1.02379, 1.04933, 1.11356, 1.25796, 1.55734, 2.17691" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27027, 1.27431, 1.29188, 1.34466, 1.46973, 1.74412, 2.31181" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02661, 1.03382, 1.059, 1.12395, 1.2683, 1.56815, 2.18769" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.546345; + rise_capacitance : 0.542654; + rise_capacitance_range (0.480841, 0.542654); + fall_capacitance : 0.546345; + fall_capacitance_range (0.473246, 0.546345); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, -0.748594" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.4068, -10.264, -14.0067, -12.4316, -15.2942, -18.7223, -21.3455", \ + "-11.1699, -11.0272, -14.7698, -14.3825, -16.0573, -15.4879, -22.1086", \ + "-12.666, -12.5232, -12.2683, -15.8786, -17.5534, -16.984, -23.6047", \ + "-18.3862, -15.3947, -15.1398, -17.5, -16.4273, -19.8554, -29.2871", \ + "-20.7976, -20.6549, -20.4, -20.0127, -21.6875, -25.1156, -31.7363", \ + "-29.3872, -29.2444, -28.9895, -28.6023, -30.2771, -33.7052, -40.3259", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -9.90883", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, -0.748594" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-10.4068, -10.264, -14.0067, -12.4316, -15.2942, -18.7223, -21.3455", \ + "-11.1699, -11.0272, -14.7698, -14.3825, -16.0573, -15.4879, -22.1086", \ + "-12.666, -12.5232, -12.2683, -15.8786, -17.5534, -16.984, -23.6047", \ + "-18.3862, -15.3947, -15.1398, -17.5, -16.4273, -19.8554, -29.2871", \ + "-20.7976, -20.6549, -20.4, -20.0127, -21.6875, -25.1156, -31.7363", \ + "-29.3872, -29.2444, -28.9895, -28.6023, -30.2771, -33.7052, -40.3259", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.3694, 34.8586, 37.7829, 40.6836, 49.8168, 67.1527, 91.9736", \ + "33.8682, 35.3575, 38.2818, 43.9141, 50.3156, 67.6516, 92.4725", \ + "34.8169, 36.3061, 39.2305, 44.8628, 51.2643, 68.6003, 93.4212", \ + "33.689, 34.01, 36.9343, 43.5784, 52.9656, 70.3016, 93.125", \ + "35.9998, 37.489, 40.4134, 42.0482, 52.4472, 69.7832, 90.6066", \ + "34.9629, 36.4521, 39.3765, 45.0088, 51.4103, 68.7463, 89.5697", \ + "28.8917, 30.3809, 33.3052, 40.9375, 49.3366, 62.675, 87.4959" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "33.3694, 34.8586, 37.7829, 40.6836, 49.8168, 67.1527, 91.9736", \ + "33.8682, 35.3575, 38.2818, 43.9141, 50.3156, 67.6516, 92.4725", \ + "34.8169, 36.3061, 39.2305, 44.8628, 51.2643, 68.6003, 93.4212", \ + "33.689, 34.01, 36.9343, 43.5784, 52.9656, 70.3016, 93.125", \ + "35.9998, 37.489, 40.4134, 42.0482, 52.4472, 69.7832, 90.6066", \ + "34.9629, 36.4521, 39.3765, 45.0088, 51.4103, 68.7463, 89.5697", \ + "28.8917, 30.3809, 33.3052, 40.9375, 49.3366, 62.675, 87.4959" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.022233, -0.0225903, -0.0235488, -0.0234322, -0.023991, -0.023761, -0.0235409" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0559624, 0.0552569, 0.0553821, 0.0558051, 0.0558758, 0.0552283, 0.0552904" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107039, 0.105406, 0.105743, 0.105164, 0.105339, 0.104958, 0.104344" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0744425, -0.0737218, -0.0737953, -0.074215, -0.0746318, -0.0737098, -0.0738105" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0562423, 0.0628505, 0.0844485, 0.142349, 0.278945, 0.574859, 1.18654" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.29631, 0.301963, 0.327984, 0.397196, 0.548878, 0.872404, 1.52951" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.279974, 0.286627, 0.307657, 0.365593, 0.502866, 0.798412, 1.40918" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0713295, 0.0765547, 0.102653, 0.171577, 0.324458, 0.646691, 1.30394" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27503, 1.28173, 1.30352, 1.36481, 1.51463, 1.84065, 2.52317" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.04758, 1.05256, 1.08009, 1.15224, 1.31948, 1.66795, 2.39655" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.31039, 1.31678, 1.33814, 1.39957, 1.54942, 1.87454, 2.55912" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01556, 1.02038, 1.04776, 1.12032, 1.28805, 1.63606, 2.36515" \ + ); + } + } + } + } + cell (ICGx6p67DC_ASAP7_75t_SL) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 59334.1; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 64848.1; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 60794; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 66308.1; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 60682.8; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 66196.9; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 61702.9; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 71993.3; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 61983.6; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 63444; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 63332.8; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 45377.4; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 62166.5; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.86121, 7.43627, 8.45587, 10.2778, 13.6617, 20.2283, 33.2972", \ + "7.83107, 8.41801, 9.45485, 11.2869, 14.6665, 21.2235, 34.2881", \ + "9.3538, 9.94191, 10.9845, 12.8367, 16.2625, 22.8569, 35.9162", \ + "11.7946, 12.4203, 13.4932, 15.4271, 18.8869, 25.4802, 38.5774", \ + "15.5802, 16.2557, 17.4216, 19.4858, 23.0734, 29.8179, 42.9913", \ + "21.4467, 22.2194, 23.5639, 25.8017, 29.6773, 36.7682, 50.3259", \ + "30.9377, 31.8138, 33.3252, 35.8401, 40.1516, 47.7453, 62.0597" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.17469, 3.98362, 5.65003, 9.05713, 16.0636, 30.3479, 59.2324", \ + "3.54168, 4.31727, 5.92178, 9.25245, 16.1777, 30.3965, 59.2493", \ + "4.05989, 4.80654, 6.3857, 9.66919, 16.4832, 30.5837, 59.3283", \ + "5.14697, 5.85332, 7.3392, 10.5377, 17.0818, 31.1034, 59.534", \ + "6.92418, 7.59597, 9.03089, 12.1008, 18.5022, 32.058, 60.3977", \ + "9.8362, 10.4979, 11.8789, 14.8048, 20.9863, 34.403, 62.1755", \ + "14.6212, 15.2093, 16.5817, 19.4334, 25.4619, 38.5629, 66.69" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.88887, 7.46279, 8.48732, 10.3142, 13.7004, 20.2771, 33.3506", \ + "7.85666, 8.44515, 9.48133, 11.3131, 14.6996, 21.2734, 34.339", \ + "9.39641, 9.98654, 11.0345, 12.8981, 16.3325, 22.9174, 35.986", \ + "11.8798, 12.4883, 13.5934, 15.5488, 19.0011, 25.5962, 38.6676", \ + "15.7159, 16.3979, 17.5994, 19.6413, 23.242, 29.8935, 42.9762", \ + "21.7236, 22.5083, 23.8522, 26.0639, 29.9289, 36.6593, 49.7637", \ + "31.3609, 32.1896, 33.7187, 36.1415, 40.1359, 46.9471, 59.9754" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.1871, 4.00149, 5.66486, 9.07738, 16.0737, 30.3537, 59.2056", \ + "3.56339, 4.33561, 5.94375, 9.28117, 16.1892, 30.3959, 59.223", \ + "4.0978, 4.84996, 6.4355, 9.72794, 16.4781, 30.5793, 59.2989", \ + "5.21996, 5.92572, 7.42287, 10.5896, 17.1053, 30.9575, 59.4655", \ + "7.0824, 7.74665, 9.19601, 12.2643, 18.5079, 31.947, 60.2509", \ + "10.0494, 10.7045, 12.0364, 14.8635, 20.7617, 33.7167, 61.0978", \ + "14.4671, 15.002, 16.2235, 18.7724, 24.1602, 36.1946, 62.5271" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.91487, 7.51611, 8.61724, 10.626, 14.3814, 21.6681, 36.1453", \ + "7.53001, 8.13529, 9.25793, 11.283, 15.0386, 22.3197, 36.7944", \ + "8.29225, 8.89042, 9.97429, 11.9871, 15.7899, 23.1113, 37.5865", \ + "9.28921, 9.90191, 10.9932, 13.0102, 16.7898, 24.1335, 38.7018", \ + "10.2357, 10.8898, 12.0083, 14.0655, 17.8501, 25.295, 39.9165", \ + "10.4946, 11.2098, 12.4688, 14.6668, 18.5955, 26.1686, 41.1359", \ + "8.82281, 9.65353, 11.0828, 13.4767, 17.6413, 25.4351, 40.8542" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.69585, 4.6625, 6.63295, 10.6414, 18.8565, 35.5754, 69.3315", \ + "4.05426, 4.99645, 6.92386, 10.8682, 19.0139, 35.643, 69.3475", \ + "4.35249, 5.27231, 7.17691, 11.2582, 19.267, 35.8505, 69.4936", \ + "5.17447, 6.04955, 7.89217, 11.7446, 19.7012, 36.3625, 69.7098", \ + "6.69435, 7.47499, 9.21182, 12.9402, 20.8518, 37.191, 70.5234", \ + "9.27925, 9.98528, 11.6709, 15.1338, 22.8171, 39.0655, 72.2251", \ + "13.7492, 14.3831, 15.8471, 19.1614, 26.547, 42.5418, 75.9753" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.86121, 7.43627, 8.45587, 10.2778, 13.6617, 20.2283, 33.2972", \ + "7.83107, 8.41801, 9.45485, 11.2869, 14.6665, 21.2235, 34.2881", \ + "9.3538, 9.94191, 10.9845, 12.8367, 16.2625, 22.8569, 35.9162", \ + "11.7946, 12.4203, 13.4932, 15.4271, 18.8869, 25.4802, 38.5774", \ + "15.5802, 16.2557, 17.4216, 19.4858, 23.0734, 29.8179, 42.9913", \ + "21.4467, 22.2194, 23.5639, 25.8017, 29.6773, 36.7682, 50.3259", \ + "30.9377, 31.8138, 33.3252, 35.8401, 40.1516, 47.7453, 62.0597" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.17469, 3.98362, 5.65003, 9.05713, 16.0636, 30.3479, 59.2324", \ + "3.54168, 4.31727, 5.92178, 9.25245, 16.1777, 30.3965, 59.2493", \ + "4.05989, 4.80654, 6.3857, 9.66919, 16.4832, 30.5837, 59.3283", \ + "5.14697, 5.85332, 7.3392, 10.5377, 17.0818, 31.1034, 59.534", \ + "6.92418, 7.59597, 9.03089, 12.1008, 18.5022, 32.058, 60.3977", \ + "9.8362, 10.4979, 11.8789, 14.8048, 20.9863, 34.403, 62.1755", \ + "14.6212, 15.2093, 16.5817, 19.4334, 25.4619, 38.5629, 66.69" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.85537, 2.84109, 2.84582, 2.85812, 2.8678, 2.87703, 2.88099", \ + "3.02649, 3.00008, 2.98687, 2.98824, 2.99654, 3.00962, 3.01326", \ + "3.48911, 3.44872, 3.41415, 3.39571, 3.39156, 3.39704, 3.40065", \ + "4.56341, 4.49656, 4.42768, 4.36952, 4.32946, 4.31806, 4.31343", \ + "6.80541, 6.71405, 6.58887, 6.46582, 6.37349, 6.31028, 6.27542", \ + "11.2997, 11.1842, 11.0093, 10.7981, 10.5925, 10.4344, 10.3318", \ + "20.1919, 20.0589, 19.8343, 19.5224, 19.1602, 18.8463, 18.5957" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "0.776337, 0.768124, 0.767316, 0.779494, 0.796129, 0.80267, 0.806252", \ + "0.948555, 0.926747, 0.912841, 0.914502, 0.923672, 0.925022, 0.928178", \ + "1.41316, 1.36864, 1.33655, 1.30986, 1.30832, 1.31013, 1.31438", \ + "2.47916, 2.41467, 2.33971, 2.30433, 2.24811, 2.26678, 2.2277", \ + "4.7208, 4.62923, 4.50011, 4.37989, 4.28395, 4.21939, 4.23045", \ + "9.20848, 9.09171, 8.91869, 8.6966, 8.49288, 8.34916, 8.2443", \ + "18.1046, 17.9699, 17.7482, 17.4252, 17.0531, 16.7442, 16.5814" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.52405, 3.51369, 3.51251, 3.52597, 3.53829, 3.54342, 3.54759", \ + "3.69574, 3.66772, 3.65496, 3.65658, 3.66285, 3.66944, 3.67861", \ + "4.16859, 4.12363, 4.08576, 4.06633, 4.05805, 4.06498, 4.06819", \ + "5.25065, 5.18121, 5.10837, 5.04645, 5.00917, 4.99323, 4.98913", \ + "7.53286, 7.43703, 7.30968, 7.18085, 7.08238, 7.01416, 6.97887", \ + "11.5479, 11.4302, 11.2524, 11.0382, 10.8408, 10.6855, 10.5874", \ + "18.1189, 17.9838, 17.7658, 17.4645, 17.1476, 16.8674, 16.6413" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.64076, 1.6283, 1.63013, 1.6405, 1.65271, 1.6576, 1.66362", \ + "1.8121, 1.78913, 1.77303, 1.7708, 1.77763, 1.78422, 1.78081", \ + "2.28276, 2.23765, 2.206, 2.18726, 2.17649, 2.17368, 2.17881", \ + "3.3698, 3.29655, 3.22186, 3.18122, 3.1241, 3.10709, 3.10548", \ + "5.64316, 5.54528, 5.42087, 5.29309, 5.18033, 5.14333, 5.1445", \ + "9.65288, 9.53646, 9.361, 9.12965, 8.94022, 8.76977, 8.66274", \ + "16.219, 16.08, 15.8612, 15.5502, 15.2135, 14.9436, 14.7263" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 7.07308; + rise_capacitance : 7.00205; + rise_capacitance_range (4.45543, 7.00205); + fall_capacitance : 7.07308; + fall_capacitance_range (4.47598, 7.07308); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "29.6083, 32.9661, 36.1061, 45.3186, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41928, 2.46513, 2.58503, 2.86139, 3.62253, 5.90148, 11.773" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.887973, 0.934225, 1.05283, 1.33177, 2.08492, 4.36633, 10.2332" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.551228, -0.518284, -0.418174, -0.188875, 0.301309, 1.31437, 3.35664" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.07084, 1.11954, 1.23988, 1.50499, 2.03548, 3.10444, 5.25214" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.805952, 0.845901, 0.943126, 1.17861, 1.6756, 2.68461, 4.7258" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.320957, -0.271947, -0.156147, 0.0984049, 0.62917, 1.69914, 3.84807" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.569917; + rise_capacitance : 0.569523; + rise_capacitance_range (0.495003, 0.569523); + fall_capacitance : 0.569917; + fall_capacitance_range (0.428, 0.569917); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -14.2609, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -21.5274, -20, -22.9067, -22.6815, -31.6602", \ + "-27.7244, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-39.1445, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -14.2609, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -21.5274, -20, -22.9067, -22.6815, -31.6602", \ + "-27.7244, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-39.1445, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.424, 34.115, 37.427, 43.7709, 51.3405, 65.995, 89.3671", \ + "33.0815, 34.7726, 38.0846, 40.431, 51.9981, 66.6526, 90.0247", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.085, 33.7761, 37.0881, 43.432, 51.0016, 65.6561, 89.0282", \ + "27.8914, 29.5825, 32.8945, 39.2384, 46.808, 61.4625, 88.8321", \ + "19.5043, 21.1953, 24.5073, 28.1226, 38.4209, 53.0754, 76.4474" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 84.9847, 91.9302" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.0683, 33.7593, 37.0713, 40.6836, 50.9849, 65.6394, 89.0115", \ + "32.424, 34.115, 37.427, 43.7709, 51.3405, 65.995, 89.3671", \ + "33.0815, 34.7726, 38.0846, 40.431, 51.9981, 66.6526, 90.0247", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.085, 33.7761, 37.0881, 43.432, 51.0016, 65.6561, 89.0282", \ + "27.8914, 29.5825, 32.8945, 39.2384, 46.808, 61.4625, 88.8321", \ + "19.5043, 21.1953, 24.5073, 28.1226, 38.4209, 53.0754, 76.4474" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 84.9847, 91.9302" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0154567, 0.0154176, 0.0154719, 0.0155172, 0.0155575, 0.0155984, 0.0156495" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0160153, 0.0159687, 0.0159214, 0.0159073, 0.0158179, 0.015804, 0.0157264" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.110057, 0.111143, 0.110718, 0.110316, 0.111352, 0.110585, 0.11038" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0761752, -0.0754794, -0.076438, -0.0756239, -0.0768153, -0.076165, -0.0763874" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0619658, 0.0671866, 0.085688, 0.135165, 0.250987, 0.50182, 1.01894" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.261141, 0.267711, 0.292424, 0.352477, 0.484225, 0.761886, 1.32688" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.243867, 0.24912, 0.267563, 0.317182, 0.432977, 0.68409, 1.20106" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0785154, 0.0851882, 0.109444, 0.16986, 0.301646, 0.578408, 1.14365" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27567, 1.28053, 1.29845, 1.3501, 1.47632, 1.74735, 2.31779" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01604, 1.02318, 1.04874, 1.11334, 1.25691, 1.55684, 2.17643" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.26965, 1.27356, 1.29114, 1.34392, 1.46916, 1.74037, 2.31119" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02599, 1.03317, 1.05837, 1.12332, 1.26716, 1.56763, 2.18727" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.546345; + rise_capacitance : 0.542654; + rise_capacitance_range (0.480841, 0.542654); + fall_capacitance : 0.546345; + fall_capacitance_range (0.47325, 0.546345); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -5.91133", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7823, -12.6395, -12.3847, -14.8071, -13.6722, -17.1003, -23.721", \ + "-13.1737, -13.031, -12.7761, -12.3888, -14.0636, -17.4917, -24.1124", \ + "-13.9588, -13.816, -13.5611, -13.1738, -14.8486, -18.2767, -24.8975", \ + "-18.3862, -15.3947, -15.1398, -17.5, -20.4248, -19.8554, -29.2871", \ + "-22.7266, -22.5839, -22.329, -21.9417, -23.6165, -27.0446, -29.6679", \ + "-29.2478, -29.105, -28.8501, -28.4628, -30.1376, -33.5658, -40.1865", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -5.91133", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -21.7347, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7823, -12.6395, -12.3847, -14.8071, -13.6722, -17.1003, -23.721", \ + "-13.1737, -13.031, -12.7761, -12.3888, -14.0636, -17.4917, -24.1124", \ + "-13.9588, -13.816, -13.5611, -13.1738, -14.8486, -18.2767, -24.8975", \ + "-18.3862, -15.3947, -15.1398, -17.5, -20.4248, -19.8554, -29.2871", \ + "-22.7266, -22.5839, -22.329, -21.9417, -23.6165, -27.0446, -29.6679", \ + "-29.2478, -29.105, -28.8501, -28.4628, -30.1376, -33.5658, -40.1865", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.4072, 31.7327, 34.3454, 40.6836, 48.9505, 65.568, 89.0115", \ + "30.7423, 36.0653, 38.678, 43.7503, 49.2856, 65.9031, 89.3466", \ + "35.3769, 36.7023, 39.315, 44.3874, 49.9226, 66.5402, 89.9836", \ + "33.689, 33.8462, 36.4589, 43.5312, 51.064, 67.6815, 93.125", \ + "36.1477, 37.4732, 40.0859, 45.1582, 50.6935, 67.311, 90.7545", \ + "35.4066, 36.7321, 39.3448, 44.4171, 53.9499, 66.5699, 90.0134", \ + "29.927, 31.2525, 33.8651, 40.9375, 48.4702, 65.0878, 88.5312" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.4072, 31.7327, 34.3454, 40.6836, 48.9505, 65.568, 89.0115", \ + "30.7423, 36.0653, 38.678, 43.7503, 49.2856, 65.9031, 89.3466", \ + "35.3769, 36.7023, 39.315, 44.3874, 49.9226, 66.5402, 89.9836", \ + "33.689, 33.8462, 36.4589, 43.5312, 51.064, 67.6815, 93.125", \ + "36.1477, 37.4732, 40.0859, 45.1582, 50.6935, 67.311, 90.7545", \ + "35.4066, 36.7321, 39.3448, 44.4171, 53.9499, 66.5699, 90.0134", \ + "29.927, 31.2525, 33.8651, 40.9375, 48.4702, 65.0878, 88.5312" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0212648, -0.0217516, -0.0225601, -0.0224813, -0.023003, -0.022773, -0.0225529" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0569434, 0.0562234, 0.0561431, 0.0567914, 0.0568558, 0.056286, 0.0562776" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10807, 0.107063, 0.106732, 0.106476, 0.106327, 0.105946, 0.105332" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0734498, -0.0727242, -0.0724694, -0.0732288, -0.0736306, -0.072847, -0.0728233" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0572316, 0.0636716, 0.0854373, 0.143337, 0.279933, 0.575847, 1.18753" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.297297, 0.302949, 0.328971, 0.398448, 0.549866, 0.873392, 1.5305" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.280965, 0.287218, 0.308646, 0.366582, 0.503854, 0.7994, 1.41016" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0723161, 0.0775402, 0.103639, 0.172712, 0.325446, 0.647678, 1.30493" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27427, 1.28095, 1.30276, 1.36402, 1.51022, 1.83994, 2.52378" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.04698, 1.05195, 1.0795, 1.15181, 1.31872, 1.66739, 2.39386" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.30967, 1.31604, 1.3374, 1.39883, 1.5452, 1.87386, 2.55975" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01488, 1.01974, 1.04713, 1.11989, 1.2872, 1.63546, 2.3623" \ + ); + } + } + } + } + cell (ICGx8DC_ASAP7_75t_SL) { + area : 0.70038; + clock_gating_integrated_cell : latch_posedge_precontrol; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 63114.1; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 69864.8; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 64573.9; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 71324.7; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 64462.8; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 71213.6; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 65482.8; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 77010; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !ENA * !SE * GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 65763.6; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 67224; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 67112.9; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 49155.9; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 66358.6; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + statetable ("CLK ENA SE", "IQ") { \ + table : "L L L : - : L , \ + L L H : - : H ,\ + L H L : - : H ,\ + L H H : - : H ,\ + H - - : - : N "; } + pin (IQ) { + direction : internal; + internal_node : "IQ"; + } + pin (GCLK) { + clock_gate_out_pin : true; + direction : output; + state_function : "CLK & IQ"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + sdf_cond : "(ENA) | (~ENA & SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(ENA) + (!ENA * SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.99237, 7.49444, 8.38555, 9.97046, 12.8462, 18.3578, 29.2684", \ + "8.01477, 8.52336, 9.42433, 11.0045, 13.8822, 19.387, 30.2855", \ + "9.5926, 10.1037, 11.0117, 12.6089, 15.5197, 21.0664, 31.9779", \ + "12.1128, 12.654, 13.6068, 15.2728, 18.236, 23.7849, 34.7048", \ + "16.0247, 16.6253, 17.6222, 19.4191, 22.5483, 28.2237, 39.2635", \ + "22.0926, 22.764, 23.9264, 25.8973, 29.2367, 35.186, 46.6064", \ + "31.8124, 32.5353, 33.8226, 36.0829, 39.8416, 46.3341, 58.3362" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.10693, 3.77726, 5.15901, 7.98473, 13.7883, 25.6688, 49.772", \ + "3.49124, 4.11223, 5.44284, 8.19199, 13.9137, 25.7298, 49.785", \ + "4.01734, 4.63482, 5.93391, 8.63691, 14.2615, 25.9427, 49.8967", \ + "5.12919, 5.70641, 6.9362, 9.52137, 14.9848, 26.4286, 50.1327", \ + "6.98435, 7.52241, 8.68483, 11.2025, 16.4283, 27.7214, 51.0465", \ + "10.0376, 10.5329, 11.6531, 13.9784, 19.0653, 30.0802, 53.4405", \ + "15.0192, 15.4495, 16.5124, 18.8379, 23.7077, 34.3473, 57.2025" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "(~ENA & ~SE)"; + timing_sense : positive_unate; + timing_type : combinational_fall; + when : "(!ENA * !SE)"; + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.02289, 7.52608, 8.42211, 10.0114, 12.8908, 18.4087, 29.3177", \ + "8.03228, 8.55648, 9.45127, 11.0407, 13.9208, 19.4271, 30.3375", \ + "9.6413, 10.1548, 11.0703, 12.674, 15.5879, 21.1255, 32.0359", \ + "12.2088, 12.7602, 13.716, 15.3875, 18.3181, 23.8892, 34.7929", \ + "16.1745, 16.7702, 17.7842, 19.5858, 22.6841, 28.2939, 39.2182", \ + "22.3811, 23.0506, 24.2152, 26.1279, 29.4541, 35.1678, 46.1024", \ + "32.1983, 32.9641, 34.2188, 36.3636, 39.7626, 45.6143, 56.4677" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.1231, 3.79658, 5.17811, 8.00619, 13.8011, 25.6763, 49.7373", \ + "3.49944, 4.13161, 5.46, 8.21356, 13.9267, 25.7315, 49.7585", \ + "4.05647, 4.67274, 5.97299, 8.71097, 14.2726, 25.9382, 49.8652", \ + "5.20952, 5.78221, 7.01243, 9.61441, 15.0227, 26.4008, 50.0744", \ + "7.14972, 7.67774, 8.84898, 11.3293, 16.4652, 27.4757, 50.764", \ + "10.2154, 10.7012, 11.7532, 14.0688, 18.8261, 29.4283, 51.961", \ + "14.5859, 14.9983, 15.9712, 17.9944, 22.2919, 32.1221, 53.5565" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_sense : positive_unate; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "7.0672, 7.58626, 8.53709, 10.2721, 13.4672, 19.5941, 31.7091", \ + "7.7467, 8.27117, 9.23382, 10.9656, 14.1676, 20.2889, 32.3997", \ + "8.56361, 9.07431, 10.0139, 11.7279, 14.9522, 21.1445, 33.2591", \ + "9.6366, 10.1739, 11.1229, 12.8339, 16.056, 22.2251, 34.4815", \ + "10.7413, 11.299, 12.2774, 14.0048, 17.2335, 23.4754, 35.7098", \ + "11.1786, 11.8081, 12.905, 14.7618, 18.106, 24.4504, 36.9897", \ + "9.74706, 10.4803, 11.7012, 13.7817, 17.3558, 23.9047, 36.6552" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.5687, 4.3766, 6.02333, 9.36933, 16.2066, 30.1596, 58.3993", \ + "3.92956, 4.7062, 6.31277, 9.5953, 16.3717, 30.2416, 58.4246", \ + "4.23612, 4.98283, 6.58112, 9.91156, 16.6287, 30.4657, 58.5797", \ + "5.06607, 5.79441, 7.27888, 10.5184, 17.1658, 30.8714, 58.9222", \ + "6.63289, 7.24798, 8.64717, 11.7362, 18.2221, 31.7558, 59.7281", \ + "9.32593, 9.89271, 11.1559, 14.0553, 20.3988, 33.6961, 61.4427", \ + "13.9641, 14.4142, 15.5757, 18.1939, 24.1664, 37.3397, 64.9507" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "6.99237, 7.49444, 8.38555, 9.97046, 12.8462, 18.3578, 29.2684", \ + "8.01477, 8.52336, 9.42433, 11.0045, 13.8822, 19.387, 30.2855", \ + "9.5926, 10.1037, 11.0117, 12.6089, 15.5197, 21.0664, 31.9779", \ + "12.1128, 12.654, 13.6068, 15.2728, 18.236, 23.7849, 34.7048", \ + "16.0247, 16.6253, 17.6222, 19.4191, 22.5483, 28.2237, 39.2635", \ + "22.0926, 22.764, 23.9264, 25.8973, 29.2367, 35.186, 46.6064", \ + "31.8124, 32.5353, 33.8226, 36.0829, 39.8416, 46.3341, 58.3362" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.10693, 3.77726, 5.15901, 7.98473, 13.7883, 25.6688, 49.772", \ + "3.49124, 4.11223, 5.44284, 8.19199, 13.9137, 25.7298, 49.785", \ + "4.01734, 4.63482, 5.93391, 8.63691, 14.2615, 25.9427, 49.8967", \ + "5.12919, 5.70641, 6.9362, 9.52137, 14.9848, 26.4286, 50.1327", \ + "6.98435, 7.52241, 8.68483, 11.2025, 16.4283, 27.7214, 51.0465", \ + "10.0376, 10.5329, 11.6531, 13.9784, 19.0653, 30.0802, 53.4405", \ + "15.0192, 15.4495, 16.5124, 18.8379, 23.7077, 34.3473, 57.2025" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.0877, 3.06702, 3.05718, 3.06503, 3.07952, 3.08685, 3.09371", \ + "3.26536, 3.22761, 3.2014, 3.19381, 3.20066, 3.21213, 3.22134", \ + "3.74204, 3.69002, 3.63385, 3.60109, 3.5871, 3.59432, 3.59711", \ + "4.85325, 4.77598, 4.68, 4.59151, 4.53704, 4.50995, 4.49961", \ + "7.16605, 7.06243, 6.91661, 6.75253, 6.61197, 6.5262, 6.47477", \ + "11.7804, 11.6546, 11.4541, 11.1893, 10.9229, 10.7158, 10.5713", \ + "20.8809, 20.735, 20.493, 20.1229, 19.6711, 19.256, 18.9207" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(ENA) + (!ENA * SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.00762, 0.987128, 0.977949, 0.986747, 1.0022, 1.01328, 1.01937", \ + "1.18874, 1.15366, 1.12752, 1.12032, 1.12785, 1.13221, 1.1234", \ + "1.66222, 1.60998, 1.55882, 1.51976, 1.5014, 1.50598, 1.51094", \ + "2.7673, 2.69077, 2.59567, 2.517, 2.46707, 2.43085, 2.41619", \ + "5.07626, 4.9762, 4.82068, 4.66201, 4.54065, 4.47699, 4.40921", \ + "9.68913, 9.56353, 9.36594, 9.09453, 8.82684, 8.62298, 8.60717", \ + "18.7939, 18.6463, 18.4025, 18.0272, 17.5782, 17.1317, 16.8426" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "3.75582, 3.73289, 3.72262, 3.73025, 3.74492, 3.75277, 3.75594", \ + "3.93177, 3.89394, 3.8675, 3.85821, 3.86318, 3.87152, 3.87889", \ + "4.41848, 4.3633, 4.30697, 4.27181, 4.25503, 4.26183, 4.2637", \ + "5.53921, 5.45634, 5.36012, 5.26968, 5.21764, 5.18832, 5.17607", \ + "7.89109, 7.77978, 7.63284, 7.46681, 7.3276, 7.23093, 7.17279", \ + "12.0095, 11.8805, 11.6751, 11.4179, 11.1652, 10.9577, 10.8134", \ + "18.718, 18.574, 18.3246, 17.9848, 17.6001, 17.2415, 16.9494" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + when : "(!ENA * !SE)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.87109, 1.84747, 1.83962, 1.84741, 1.85978, 1.86783, 1.87033", \ + "2.04861, 2.01697, 1.98561, 1.97907, 1.98072, 1.98358, 1.98192", \ + "2.53494, 2.48121, 2.42809, 2.3974, 2.36659, 2.36614, 2.37191", \ + "3.65776, 3.57686, 3.47995, 3.39691, 3.33302, 3.30595, 3.29388", \ + "6.00129, 5.89465, 5.737, 5.5711, 5.42494, 5.33145, 5.28313", \ + "10.1182, 9.99073, 9.78372, 9.51011, 9.26407, 9.05574, 8.91858", \ + "16.8139, 16.674, 16.4262, 16.0784, 15.6652, 15.33, 15.0707" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + clock_gate_clock_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 7.06591; + rise_capacitance : 6.99729; + rise_capacitance_range (4.45945, 6.99729); + fall_capacitance : 7.06591; + fall_capacitance_range (4.47742, 7.06591); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : min_pulse_width; + when : "(ENA) + (!ENA * SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.10352, 10.9863, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "29.6083, 32.9661, 36.1061, 45.3186, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : min_pulse_width; + when : "(!ENA * !SE)"; + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.42058, 2.46707, 2.58757, 2.86375, 3.6186, 5.90724, 11.7778" \ + ); + } + } + internal_power () { + when : "(ENA * !GCLK) + (!ENA * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.88817, 0.933455, 1.05326, 1.3315, 2.08735, 4.36609, 10.2376" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.551747, -0.517334, -0.417223, -0.188505, 0.302186, 1.31523, 3.35746" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.07418, 1.12068, 1.2433, 1.50683, 2.03658, 3.10427, 5.25328" \ + ); + } + } + internal_power () { + when : "(!ENA * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.80827, 0.847057, 0.944281, 1.18132, 1.67658, 2.68573, 4.72675" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.321374, -0.272093, -0.156513, 0.0986301, 0.630055, 1.69861, 3.84908" \ + ); + } + } + } + pin (ENA) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_enable_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.569917; + rise_capacitance : 0.569522; + rise_capacitance_range (0.494992, 0.569522); + fall_capacitance : 0.569917; + fall_capacitance_range (0.428, 0.569917); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : hold_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -18.2584, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -21.5274, -20, -22.9067, -22.6815, -31.6602", \ + "-27.7244, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-39.1445, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.84234, -7.76231, -13.5072, -15.3662, -19.4793, -17.1788, -8.58032", \ + "-6.97086, -7.89082, -13.6357, -16.7606, -19.6078, -17.3073, -8.70883", \ + "-7.19267, -8.11263, -13.8576, -16.9824, -19.8297, -17.5292, -8.93064", \ + "-10.3247, -8.41538, -14.1603, -15.9766, -20.1324, -17.8319, -8.01757", \ + "-9.28101, -10.201, -11.9484, -15.0732, -17.9205, -15.62, -7.02148", \ + "-5.36755, -6.28751, -8.03493, -11.1598, -14.007, -11.7065, -3.10802", \ + "7.98797, 7.06801, 1.32309, -0.683599, -4.64901, -2.34851, 10.2475" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.5796, -12.4688, -12.2748, -14.8071, -13.654, -17.4264, -23.594", \ + "-13.3361, -13.2252, -13.0312, -12.7538, -14.4105, -18.1828, -24.3505", \ + "-14.8432, -14.7324, -14.5384, -18.2584, -15.9176, -19.69, -25.8576", \ + "-20.6836, -17.7239, -21.5274, -20, -22.9067, -22.6815, -31.6602", \ + "-27.7244, -27.6135, -27.4195, -27.1421, -28.7988, -28.5736, -34.7413", \ + "-39.1445, -39.0337, -38.8397, -38.5622, -40.2189, -39.9938, -46.1614", \ + "-56.5311, -56.4202, -56.2262, -58.8281, -57.6055, -61.3778, -67.5455" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~SE"; + timing_type : setup_rising; + when : "!SE"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.3342, 33.0252, 36.3372, 40.6836, 50.2508, 64.9053, 88.2773", \ + "31.8047, 33.4958, 36.8078, 43.1517, 50.7213, 65.3758, 88.7479", \ + "32.6821, 34.3731, 37.6852, 44.029, 51.5987, 66.2532, 89.6253", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0805, 33.7715, 37.0835, 43.4274, 50.9971, 65.6516, 89.0237", \ + "27.8779, 29.5689, 32.8809, 39.2248, 46.7945, 61.449, 84.821", \ + "19.4726, 21.1636, 24.4756, 28.0804, 38.3892, 53.0437, 76.4158" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 80.9872, 91.9302" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.3342, 33.0252, 36.3372, 40.6836, 50.2508, 64.9053, 88.2773", \ + "31.8047, 33.4958, 36.8078, 43.1517, 50.7213, 65.3758, 88.7479", \ + "32.6821, 34.3731, 37.6852, 44.029, 51.5987, 66.2532, 89.6253", \ + "31.3525, 35.8729, 39.1849, 43.5312, 53.0984, 67.7529, 93.125", \ + "32.0805, 33.7715, 37.0835, 43.4274, 50.9971, 65.6516, 89.0237", \ + "27.8779, 29.5689, 32.8809, 39.2248, 46.7945, 61.449, 84.821", \ + "19.4726, 21.1636, 24.4756, 28.0804, 38.3892, 53.0437, 76.4158" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "31.9116, 31.6167, 31.0841, 31.4355, 33.1517, 39.6319, 58.5699", \ + "32.7838, 32.4889, 31.9563, 31.12, 34.0239, 40.5041, 55.4446", \ + "34.5135, 34.2186, 33.686, 32.8497, 35.7535, 42.2338, 57.1743", \ + "39.0625, 37.6189, 37.0863, 37.5, 39.1539, 45.6341, 57.7637", \ + "44.4787, 44.1838, 43.6512, 46.8124, 45.7187, 52.199, 63.142", \ + "56.6651, 56.3702, 55.8376, 58.9987, 57.9051, 60.3879, 71.3309", \ + "77.2644, 76.9695, 80.4344, 76.7188, 78.5045, 80.9872, 91.9302" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0163738, 0.0164055, 0.0164596, 0.0165052, 0.0165452, 0.0165861, 0.0166371" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0170026, 0.0169394, 0.0169088, 0.016897, 0.0168054, 0.0167914, 0.0167139" \ + ); + } + } + internal_power () { + when : "(CLK * SE) + (!CLK * SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.111672, 0.112132, 0.111706, 0.111182, 0.11234, 0.111573, 0.111367" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0751882, -0.0743937, -0.0754505, -0.0748238, -0.0758279, -0.0751775, -0.0753999" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0629506, 0.0681746, 0.0866758, 0.136152, 0.251974, 0.502807, 1.01993" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.262128, 0.268697, 0.293411, 0.353463, 0.4852, 0.762873, 1.32786" \ + ); + } + } + internal_power () { + when : "(CLK * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.244857, 0.250109, 0.26855, 0.31817, 0.433965, 0.685078, 1.20205" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795023, 0.0861745, 0.110432, 0.170848, 0.30268, 0.579395, 1.14464" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27649, 1.28116, 1.29907, 1.3507, 1.47763, 1.74796, 2.31846" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01577, 1.0229, 1.04847, 1.11438, 1.2562, 1.5566, 2.17621" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.2699, 1.27383, 1.2914, 1.34417, 1.46999, 1.74062, 2.31149" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02609, 1.03326, 1.05846, 1.12478, 1.26681, 1.56777, 2.18743" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock_gate_test_pin : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.546345; + rise_capacitance : 0.542654; + rise_capacitance_range (0.480841, 0.542654); + fall_capacitance : 0.546345; + fall_capacitance_range (0.473253, 0.546345); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : hold_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -5.91133", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -17.7372, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7823, -12.6395, -12.3847, -14.8071, -13.6722, -17.1003, -23.721", \ + "-13.1737, -13.031, -12.7761, -12.3888, -14.0636, -17.4917, -24.1124", \ + "-13.9588, -13.816, -13.5611, -13.1738, -14.8486, -18.2767, -24.8975", \ + "-18.3862, -15.3947, -19.1373, -17.5, -20.4248, -19.8554, -29.2871", \ + "-22.7266, -22.5839, -22.329, -21.9417, -23.6165, -27.0446, -33.6654", \ + "-29.2478, -29.105, -28.8501, -28.4628, -30.1376, -33.5658, -40.1865", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.22266, -9.55243, -12.0835, -15.3662, -19.4528, -17.0993, -8.39477", \ + "-8.7461, -10.0759, -12.607, -17.1555, -19.9763, -17.6227, -8.91821", \ + "-9.73672, -11.0665, -13.5976, -18.1461, -20.9669, -18.6134, -5.91133", \ + "-10.3247, -12.8227, -15.3538, -18.5938, -18.7256, -20.3696, -10.4492", \ + "-10.5045, -11.8343, -14.3654, -14.9164, -17.7372, -19.3811, -6.67911", \ + "-8.52766, -9.85743, -12.3885, -16.9371, -19.7578, -17.4043, -4.70227", \ + "-0.576481, -5.90375, -8.43486, -11.8652, -11.8066, -13.4506, 3.24891" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-12.7823, -12.6395, -12.3847, -14.8071, -13.6722, -17.1003, -23.721", \ + "-13.1737, -13.031, -12.7761, -12.3888, -14.0636, -17.4917, -24.1124", \ + "-13.9588, -13.816, -13.5611, -13.1738, -14.8486, -18.2767, -24.8975", \ + "-18.3862, -15.3947, -19.1373, -17.5, -20.4248, -19.8554, -29.2871", \ + "-22.7266, -22.5839, -22.329, -21.9417, -23.6165, -27.0446, -33.6654", \ + "-29.2478, -29.105, -28.8501, -28.4628, -30.1376, -33.5658, -40.1865", \ + "-38.8431, -38.7003, -42.443, -40.9375, -39.733, -43.1611, -49.7818" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "~ENA"; + timing_type : setup_rising; + when : "!ENA"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.4072, 31.7327, 34.3454, 40.6836, 48.9505, 65.568, 89.0115", \ + "34.7398, 36.0653, 38.678, 43.7503, 49.2856, 65.9031, 89.3466", \ + "35.3769, 36.7023, 39.315, 44.3874, 49.9226, 66.5402, 89.9836", \ + "33.689, 37.8437, 36.4589, 43.5312, 51.064, 67.6815, 93.125", \ + "36.1477, 37.4732, 40.0859, 45.1582, 50.6935, 67.311, 90.7545", \ + "35.4066, 36.7321, 39.3448, 44.4171, 49.9524, 66.5699, 90.0134", \ + "29.927, 31.2525, 33.8651, 40.9375, 48.4702, 65.0878, 88.5312" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.4072, 31.7327, 34.3454, 40.6836, 48.9505, 65.568, 89.0115", \ + "34.7398, 36.0653, 38.678, 43.7503, 49.2856, 65.9031, 89.3466", \ + "35.3769, 36.7023, 39.315, 44.3874, 49.9226, 66.5402, 89.9836", \ + "33.689, 37.8437, 36.4589, 43.5312, 51.064, 67.6815, 93.125", \ + "36.1477, 37.4732, 40.0859, 45.1582, 50.6935, 67.311, 90.7545", \ + "35.4066, 36.7321, 39.3448, 44.4171, 49.9524, 66.5699, 90.0134", \ + "29.927, 31.2525, 33.8651, 40.9375, 48.4702, 65.0878, 88.5312" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "32.1143, 31.7834, 31.1859, 31.4355, 33.5088, 39.8063, 57.0724", \ + "32.6177, 32.2868, 31.6893, 30.7512, 34.0122, 40.3097, 57.5759", \ + "33.6214, 33.2905, 32.6931, 31.7549, 35.016, 41.3134, 58.5796", \ + "36.7651, 35.2856, 34.6881, 35, 37.011, 43.3085, 57.7637", \ + "39.557, 39.2262, 42.6262, 41.6881, 40.9516, 47.249, 60.5177", \ + "51.2374, 50.9066, 50.3091, 49.371, 48.6345, 54.9319, 64.2031", \ + "61.8127, 61.4819, 60.8844, 61.0645, 63.2073, 65.5073, 74.7784" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0203045, -0.0207318, -0.0215714, -0.0214955, -0.0220149, -0.021785, -0.0215651" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0577617, 0.0572364, 0.0572943, 0.0577779, 0.0578357, 0.0572654, 0.0572649" \ + ); + } + } + internal_power () { + when : "(CLK * ENA) + (!CLK * ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10911, 0.107908, 0.107721, 0.107486, 0.107315, 0.106934, 0.10632" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0723598, -0.0718112, -0.0717869, -0.0722427, -0.0726357, -0.0718467, -0.071836" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0582208, 0.0646965, 0.0864261, 0.144326, 0.280921, 0.576835, 1.18851" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.298283, 0.303936, 0.329957, 0.398205, 0.550854, 0.874379, 1.53149" \ + ); + } + } + internal_power () { + when : "(CLK * !ENA)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.281955, 0.287793, 0.309635, 0.367571, 0.504842, 0.800388, 1.41115" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0733025, 0.0785256, 0.104625, 0.173041, 0.326433, 0.648665, 1.30592" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.27489, 1.28157, 1.3034, 1.36463, 1.51455, 1.84058, 2.52443" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.04666, 1.05167, 1.07921, 1.15205, 1.31837, 1.66715, 2.39394" \ + ); + } + } + internal_power () { + when : "(!CLK * !ENA * !GCLK)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.30992, 1.3163, 1.33767, 1.39908, 1.54901, 1.87414, 2.56004" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.01487, 1.01982, 1.04721, 1.12078, 1.28721, 1.63563, 2.36262" \ + ); + } + } + } + } + cell (SDFHx1_ASAP7_75t_SL) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 12727.3; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16508.6; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14512.5; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14585.9; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13036.1; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16817.6; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 13033; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16814.8; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 12724.6; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16505.8; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14510.1; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14318.6; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14821.4; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14894.7; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14819.1; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14627.6; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20129.9; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17040.9; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19816.8; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21111.6; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20312.3; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17223.3; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20363.6; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17274.6; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20128.3; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17039.3; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17459.5; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18754.4; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20125.1; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21420; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17768.3; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19063.2; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16884; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "25.3811, 27.5812, 31.4528, 38.3818, 50.9326, 74.9862, 122.766", \ + "26.2073, 28.408, 32.2802, 39.2093, 51.761, 75.8143, 123.591", \ + "27.4042, 29.5992, 33.474, 40.401, 52.953, 77.0078, 124.791", \ + "29.0266, 31.2259, 35.0944, 42.0195, 54.5615, 78.6173, 126.397", \ + "31.0503, 33.2431, 37.1122, 44.0328, 56.5703, 80.6358, 128.421", \ + "32.9705, 35.1636, 39.0207, 45.9202, 58.46, 82.4951, 130.384", \ + "33.5084, 35.6905, 39.4551, 46.3368, 58.8475, 82.885, 130.654" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.5393, 14.8686, 21.437, 34.4962, 61.0349, 115.228, 225.365", \ + "11.5368, 14.8671, 21.4367, 34.4961, 61.0359, 115.229, 225.358", \ + "11.5341, 14.8633, 21.435, 34.4957, 61.0347, 115.228, 225.369", \ + "11.5761, 14.877, 21.4404, 34.5027, 61.0325, 115.23, 225.367", \ + "11.5681, 14.8597, 21.4327, 34.7967, 61.14, 115.243, 225.375", \ + "11.6075, 14.9288, 21.5491, 34.5081, 61.1344, 115.682, 225.484", \ + "11.721, 15.0166, 21.5293, 34.5383, 61.0397, 115.571, 225.773" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "22.9198, 25.2805, 29.375, 36.2285, 48.1549, 70.3467, 113.846", \ + "23.7708, 26.1289, 30.2229, 37.0758, 49.0027, 71.1865, 114.678", \ + "25.0977, 27.448, 31.5311, 38.377, 50.3016, 72.4789, 115.976", \ + "26.7796, 29.1289, 33.2056, 40.0641, 51.9867, 74.1735, 117.665", \ + "28.8738, 31.2131, 35.2881, 42.1315, 54.0683, 76.2902, 119.739", \ + "31.0811, 33.4061, 37.4425, 44.295, 56.2366, 78.4291, 122.001", \ + "32.1085, 34.4328, 38.5009, 45.3582, 57.3045, 79.5432, 123.04" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.0577, 14.1792, 20.0512, 31.5625, 54.4262, 100.778, 195.421", \ + "11.0546, 14.1783, 20.0589, 31.5649, 54.4221, 100.761, 195.414", \ + "11.066, 14.1922, 20.0668, 31.5724, 54.4202, 100.766, 195.415", \ + "11.094, 14.2273, 20.0868, 31.6001, 54.4458, 100.806, 195.423", \ + "11.2038, 14.3121, 20.1833, 31.7006, 54.5277, 100.856, 195.431", \ + "11.4581, 14.5432, 20.3822, 31.8062, 54.8329, 101.084, 195.567", \ + "12.0636, 15.1014, 20.8372, 32.1968, 54.8891, 101.297, 195.999" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.868239, 0.840288, 0.811866, 0.790047, 0.775718, 0.766206, 0.76043", \ + "0.875357, 0.847497, 0.819015, 0.797243, 0.782968, 0.773518, 0.767633", \ + "0.903273, 0.874959, 0.846627, 0.824903, 0.810295, 0.80103, 0.795282", \ + "0.977181, 0.948567, 0.919725, 0.897471, 0.882525, 0.87289, 0.867048", \ + "1.14896, 1.12026, 1.09328, 1.07768, 1.06001, 1.04465, 1.03791", \ + "1.52285, 1.4936, 1.46643, 1.44282, 1.43897, 1.42966, 1.41908", \ + "2.29175, 2.26239, 2.23955, 2.21364, 2.19977, 2.20286, 2.18957" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.860557, 0.831941, 0.800047, 0.775769, 0.759843, 0.749146, 0.742205", \ + "0.867869, 0.839103, 0.807146, 0.782557, 0.766648, 0.756054, 0.749221", \ + "0.895802, 0.867173, 0.834831, 0.810507, 0.79436, 0.783712, 0.776676", \ + "0.965398, 0.93698, 0.904963, 0.879825, 0.864002, 0.853354, 0.846451", \ + "1.14084, 1.1111, 1.07887, 1.05185, 1.03538, 1.02593, 1.01813", \ + "1.52147, 1.48964, 1.45547, 1.42621, 1.40883, 1.39733, 1.39074", \ + "2.31729, 2.28432, 2.2459, 2.215, 2.1935, 2.18021, 2.17238" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.051, 1.02303, 0.994577, 0.972766, 0.958419, 0.948943, 0.943155", \ + "1.05815, 1.03027, 1.00176, 0.979954, 0.965666, 0.956214, 0.95038", \ + "1.08496, 1.0567, 1.02841, 1.00669, 0.992081, 0.982824, 0.977102", \ + "1.15825, 1.13024, 1.10155, 1.0794, 1.06549, 1.05523, 1.04951", \ + "1.33033, 1.30186, 1.27295, 1.25094, 1.23696, 1.22724, 1.22179", \ + "1.70508, 1.67601, 1.64785, 1.62304, 1.60853, 1.59825, 1.59256", \ + "2.47408, 2.44472, 2.42072, 2.39562, 2.37831, 2.36827, 2.36255" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.01698, 0.988365, 0.956456, 0.932153, 0.916196, 0.90545, 0.898456", \ + "1.02386, 0.995155, 0.963252, 0.938691, 0.922783, 0.912164, 0.905262", \ + "1.04943, 1.0211, 0.988556, 0.964122, 0.947916, 0.937251, 0.93011", \ + "1.11963, 1.09118, 1.05837, 1.03295, 1.01713, 1.00647, 0.999457", \ + "1.2953, 1.26673, 1.23447, 1.20898, 1.19195, 1.18061, 1.16987", \ + "1.67681, 1.64518, 1.61067, 1.5824, 1.57339, 1.5548, 1.55044", \ + "2.47256, 2.43949, 2.40108, 2.3721, 2.35334, 2.35246, 2.34111" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.560057; + rise_capacitance : 0.55783; + rise_capacitance_range (0.464781, 0.55783); + fall_capacitance : 0.560057; + fall_capacitance_range (0.459611, 0.560057); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.727365, 0.738924, 0.776686, 0.872208, 1.08451, 1.5176, 2.37888" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.600058, 0.611713, 0.650142, 0.746023, 0.95818, 1.39031, 2.25151" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338305, 0.345245, 0.372996, 0.442649, 0.608572, 0.971782, 1.7187" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.518287, 0.528027, 0.560453, 0.644364, 0.828856, 1.22164, 2.0169" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497778, 0.50438, 0.53126, 0.601159, 0.767725, 1.1304, 1.87743" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.364739, 0.37385, 0.406971, 0.490524, 0.67534, 1.06718, 1.86213" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.321761, 0.328533, 0.357493, 0.426111, 0.593214, 0.956125, 1.7038" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.552899, 0.562463, 0.594805, 0.678838, 0.863106, 1.25245, 2.04504" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497805, 0.504846, 0.533008, 0.601574, 0.769105, 1.13176, 1.8795" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.357959, 0.367324, 0.400038, 0.483659, 0.668033, 1.05735, 1.85016" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.845666, 0.855405, 0.886448, 0.969332, 1.15615, 1.54293, 2.3282" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.613831, 0.622754, 0.654714, 0.73798, 0.923959, 1.31057, 2.09631" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.740325, 0.751965, 0.789217, 0.884402, 1.09455, 1.52542, 2.38419" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.702963, 0.714537, 0.752543, 0.847941, 1.05829, 1.48769, 2.34576" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342955, 0.349907, 0.37767, 0.447315, 0.613203, 0.976128, 1.7234" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516148, 0.52568, 0.558046, 0.641937, 0.826911, 1.21905, 2.01416" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.498416, 0.505033, 0.531938, 0.601787, 0.768276, 1.13073, 1.87832" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.368053, 0.377044, 0.410111, 0.493608, 0.678158, 1.0698, 1.86424" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.741481, 0.752983, 0.791091, 0.884848, 1.09399, 1.52622, 2.38405" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.739112, 0.751235, 0.788829, 0.883625, 1.09189, 1.52316, 2.38056" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342993, 0.350086, 0.377741, 0.447484, 0.613347, 0.9764, 1.72345" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516172, 0.525635, 0.558032, 0.64196, 0.826598, 1.21917, 2.01404" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.498264, 0.504713, 0.531995, 0.601763, 0.768242, 1.13111, 1.87808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.368694, 0.377765, 0.410768, 0.494283, 0.678827, 1.07077, 1.86483" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.724735, 0.736368, 0.774016, 0.869805, 1.08111, 1.51545, 2.37824" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.597302, 0.609093, 0.647444, 0.743397, 0.954239, 1.38805, 2.25063" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338408, 0.345381, 0.372604, 0.442788, 0.608683, 0.971451, 1.71871" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.518529, 0.52802, 0.560486, 0.644434, 0.828889, 1.22168, 2.01689" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.496952, 0.50422, 0.530406, 0.601333, 0.767843, 1.13001, 1.87735" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.364789, 0.373914, 0.40698, 0.490567, 0.675449, 1.06723, 1.86215" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.322041, 0.328824, 0.357504, 0.426228, 0.593285, 0.955822, 1.70332" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.537746, 0.547245, 0.580417, 0.66382, 0.847747, 1.23755, 2.02889" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497978, 0.50504, 0.533049, 0.601601, 0.76939, 1.13141, 1.87899" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352491, 0.361577, 0.39458, 0.478242, 0.661892, 1.05162, 1.84338" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.788689, 0.797775, 0.829029, 0.91209, 1.09724, 1.48469, 2.26848" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.600112, 0.609229, 0.640966, 0.724198, 0.90923, 1.29614, 2.08022" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325054, 0.331834, 0.36085, 0.429445, 0.596621, 0.959485, 1.7072" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.550273, 0.559289, 0.591625, 0.675672, 0.859692, 1.24927, 2.04191" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.503256, 0.510294, 0.538488, 0.607036, 0.773996, 1.13726, 1.88503" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.349614, 0.35904, 0.391759, 0.475359, 0.659867, 1.04908, 1.84203" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.843964, 0.853651, 0.88468, 0.967542, 1.15423, 1.54149, 2.32628" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.60236, 0.611086, 0.643045, 0.726314, 0.912229, 1.29905, 2.08467" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.678493; + rise_capacitance : 0.671918; + rise_capacitance_range (0.563964, 0.671918); + fall_capacitance : 0.678493; + fall_capacitance_range (0.608422, 0.678493); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.88678, -4.48032, -3.71586, -5.19043, -3.58533, -5.99465, -10.8133", \ + "-4.85358, -4.44712, -3.68266, -2.34747, -3.55213, -5.96145, -10.7801", \ + "-4.80207, -4.39561, -3.63115, -6.29346, -7.49812, -5.90994, -10.7286", \ + "-7.56836, -4.35215, -3.58768, -5, -7.45466, -9.86398, -13.5449", \ + "-8.90741, -8.50095, -7.73649, -6.4013, -7.60596, -10.0153, -14.8339", \ + "-10.1629, -9.75647, -8.992, -11.6543, -8.86147, -15.2683, -20.0869", \ + "-12.4881, -12.0816, -11.3172, -12.8125, -15.1842, -17.5935, -26.4096" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.79834, 1.23822, 6.07897, 4.80957, 7.77767, 8.09436, 8.72773", \ + "0.801573, 1.24146, 6.0822, 7.62256, 7.7809, 8.09759, 8.73096", \ + "0.823117, 1.263, 6.10374, 7.6441, 7.80245, 8.11913, 8.75251", \ + "2.13379, 5.3639, 6.20714, 5, 7.90584, 8.22253, 5.98633", \ + "5.37204, 5.81192, 6.65516, 8.19552, 8.35387, 8.67055, 9.30393", \ + "7.233, 7.67289, 8.51613, 10.0565, 10.2148, 10.5315, 11.1649", \ + "14.8146, 15.2545, 16.0978, 15.6406, 17.7965, 18.1132, 14.749" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.88678, -4.48032, -3.71586, -5.19043, -3.58533, -5.99465, -10.8133", \ + "-4.84961, -4.44316, -3.67869, -2.3435, -3.54816, -5.95748, -10.7761", \ + "-4.79413, -4.38768, -3.62321, -6.28552, -3.49268, -5.902, -10.7206", \ + "-7.56836, -4.35215, -3.58768, -5, -7.45466, -9.86398, -13.5449", \ + "-8.98679, -8.58034, -7.81587, -6.48069, -7.68535, -10.0947, -14.9133", \ + "-10.6551, -10.2487, -9.4842, -12.1465, -9.35368, -15.7605, -20.5791", \ + "-14.8221, -14.4156, -13.6512, -15.1465, -17.5181, -19.9275, -24.7461" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.78467, 2.15793, 6.87416, 5.37109, 6.41044, 9.48442, 7.19898", \ + "1.76186, 2.13512, 6.85135, 8.17757, 6.38764, 9.46162, 7.17617", \ + "1.76636, 2.13962, 6.85585, 8.18207, 6.39213, 9.46611, 7.18067", \ + "2.92969, 2.11521, 6.83143, 5.39063, 6.36772, 9.4417, 9.15626", \ + "6.0247, 6.39797, 7.11669, 8.44292, 10.6505, 9.72696, 7.44152", \ + "7.66432, 8.03758, 8.75631, 10.0825, 12.2901, 11.3666, 9.08113", \ + "11.2224, 11.5957, 12.3144, 15.6406, 15.8482, 14.9247, 12.6392" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.88678, -4.48032, -3.71586, -5.19043, -3.58533, -5.99465, -10.8133", \ + "-4.84961, -4.44316, -3.67869, -2.3435, -3.54816, -5.95748, -10.7761", \ + "-4.79413, -4.38768, -3.62321, -6.28552, -3.49268, -5.902, -10.7206", \ + "-7.56836, -4.35215, -3.58768, -5, -7.45466, -9.86398, -13.5449", \ + "-8.90741, -8.50095, -7.73649, -6.4013, -7.60596, -10.0153, -14.8339", \ + "-10.1629, -9.75647, -8.992, -11.6543, -8.86147, -15.2683, -20.0869", \ + "-12.4881, -12.0816, -11.3172, -12.8125, -15.1842, -17.5935, -24.7461" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.78467, 2.15793, 6.87416, 5.37109, 7.77767, 9.48442, 8.72773", \ + "1.76186, 2.13512, 6.85135, 8.17757, 7.7809, 9.46162, 8.73096", \ + "1.76636, 2.13962, 6.85585, 8.18207, 7.80245, 9.46611, 8.75251", \ + "2.92969, 5.3639, 6.83143, 5.39063, 7.90584, 9.4417, 9.15626", \ + "6.0247, 6.39797, 7.11669, 8.44292, 10.6505, 9.72696, 9.30393", \ + "7.66432, 8.03758, 8.75631, 10.0825, 12.2901, 11.3666, 11.1649", \ + "14.8146, 15.2545, 16.0978, 15.6406, 17.7965, 18.1132, 14.749" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9921, 11.4717, 10.4852, 12.7267, 13.5561, 15.2148, 18.5323", \ + "12.8209, 12.3005, 11.314, 13.5555, 10.3873, 12.0461, 19.3611", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "17.3513, 16.8309, 15.8444, 14.0884, 14.9178, 16.5765, 19.894", \ + "22.2125, 21.6921, 20.7055, 18.9495, 19.7789, 21.4377, 24.7552", \ + "31.8886, 31.3682, 30.3816, 26.2094, 25.4575, 27.1163, 30.4338" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.83941, 9.13645, 7.80211, 6.4353, 6.38138, 4.30718, 16.1488", \ + "10.0628, 9.35981, 8.02547, 5.6431, 6.60475, 4.53055, 16.3721", \ + "10.5119, 9.80893, 8.47458, 6.09221, 7.05386, 4.97966, 16.8213", \ + "12.4707, 10.7167, 9.38237, 9, 7.96165, 5.88745, 15.7315", \ + "17.271, 12.5705, 15.2337, 12.8513, 9.81545, 7.74125, 11.5878", \ + "21.1315, 20.4285, 19.0942, 16.7118, 13.6759, 7.60424, 11.4508", \ + "25.4666, 24.7636, 23.4293, 23.0469, 18.011, 11.9393, 11.7884" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 9.55554, 15.2118, 18.5293", \ + "12.8149, 12.2945, 11.3079, 13.5494, 10.3813, 12.0401, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "17.4116, 16.8912, 15.9047, 14.1487, 14.9781, 16.6368, 19.9544", \ + "26.5839, 22.066, 21.0795, 19.3235, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 28.1574, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.52997, 5.09201, 4.25898, 3.83545, 3.66556, 1.43034, 16.9474", \ + "9.94467, 9.50422, 4.67368, 3.19913, 4.08026, 1.84504, 17.3621", \ + "10.7568, 10.3163, 5.48581, 4.01126, 4.89239, 2.65717, 14.1767", \ + "9.31445, 11.8715, 7.04096, 6.67969, 6.44754, 4.21232, 12.7344", \ + "15.1458, 14.7054, 9.87484, 8.40028, 9.28142, 7.04619, 10.5707", \ + "15.7104, 15.2699, 14.4369, 12.9623, 9.84595, 7.61072, 7.13777", \ + "24.4091, 19.9711, 19.1381, 18.8508, 14.5472, 12.3119, 11.839" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9921, 11.4717, 10.4852, 12.7267, 13.5561, 15.2148, 18.5323", \ + "12.8209, 12.3005, 11.314, 13.5555, 10.3873, 12.0461, 19.3611", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "17.4116, 16.8912, 15.9047, 14.1487, 14.9781, 16.6368, 19.9544", \ + "26.5839, 22.066, 21.0795, 19.3235, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 30.3816, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.83941, 9.13645, 7.80211, 6.4353, 6.38138, 4.30718, 16.9474", \ + "10.0628, 9.50422, 8.02547, 5.6431, 6.60475, 4.53055, 17.3621", \ + "10.7568, 10.3163, 8.47458, 6.09221, 7.05386, 4.97966, 16.8213", \ + "12.4707, 11.8715, 9.38237, 9, 7.96165, 5.88745, 15.7315", \ + "17.271, 14.7054, 15.2337, 12.8513, 9.81545, 7.74125, 11.5878", \ + "21.1315, 20.4285, 19.0942, 16.7118, 13.6759, 7.61072, 11.4508", \ + "25.4666, 24.7636, 23.4293, 23.0469, 18.011, 12.3119, 11.839" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0639188, -0.0639457, -0.0646137, -0.0645154, -0.0646514, -0.0641413, -0.0637451" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0707247, 0.0708205, 0.0712323, 0.0711847, 0.0711967, 0.0710526, 0.0708888" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104805, 0.105249, 0.10581, 0.105724, 0.106215, 0.10532, 0.105056" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0987612, -0.0988654, -0.0993411, -0.0988387, -0.0995192, -0.0987624, -0.0982615" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0630354, -0.0636544, -0.0641935, -0.0642319, -0.0636194, -0.063832, -0.0635158" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0704452, 0.0712509, 0.071292, 0.0716393, 0.0711926, 0.0715604, 0.071294" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0625174, 0.0630338, 0.0629936, 0.0629444, 0.0627552, 0.062661, 0.0622961" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625174, -0.0630338, -0.0629936, -0.0629444, -0.0627552, -0.062661, -0.0622961" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0544341, -0.0581184, -0.0617041, -0.0635152, -0.0653836, -0.0655711, -0.0657357" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0811804, 0.0812654, 0.0817054, 0.0817277, 0.0818254, 0.0811547, 0.0812247" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106562, 0.104956, 0.10347, 0.102606, 0.102624, 0.101378, 0.100747" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0882377, -0.0884394, -0.0898238, -0.0902262, -0.0909936, -0.0900958, -0.089813" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0517262, -0.0561137, -0.0599475, -0.0625833, -0.0639628, -0.0651956, -0.0651893" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0815593, 0.0810406, 0.0824293, 0.082435, 0.0818622, 0.0817408, 0.0815909" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105788, 0.104219, 0.103225, 0.102934, 0.101973, 0.101377, 0.100578" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0906529, -0.090083, -0.0918237, -0.091924, -0.0916461, -0.0914138, -0.0906491" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0669529, -0.0675236, -0.0675306, -0.0669813, -0.0669777, -0.0669945, -0.0666493" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0759767, 0.0753374, 0.0765485, 0.0762936, 0.0764517, 0.0762631, 0.0759684" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102398, 0.102564, 0.102824, 0.102421, 0.102597, 0.10242, 0.102173" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0935139, -0.0927788, -0.0943038, -0.0938637, -0.0943599, -0.0936309, -0.0931464" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0668719, -0.0674465, -0.068271, -0.0684182, -0.0684906, -0.0678343, -0.0678805" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0865745, 0.0869741, 0.0869243, 0.0874048, 0.0874889, 0.0869931, 0.086367" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0569619, 0.0572845, 0.0575895, 0.0576322, 0.0575509, 0.0568989, 0.0565212" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0424924, -0.0426694, -0.0425145, -0.0427082, -0.0426159, -0.04231, -0.0420762" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.206368, 0.207156, 0.223425, 0.28492, 0.452025, 0.835583, 1.64061" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.591005, 0.587733, 0.596169, 0.651022, 0.818204, 1.21652, 2.04501" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.578503, 0.579713, 0.59537, 0.656422, 0.823623, 1.20632, 2.0093" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.213656, 0.210119, 0.219122, 0.27313, 0.441201, 0.83843, 1.66832" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.209642, 0.211934, 0.230652, 0.295968, 0.467353, 0.854508, 1.66048" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.562925, 0.561718, 0.572676, 0.632597, 0.803463, 1.20613, 2.04206" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.563595, 0.565381, 0.583549, 0.648866, 0.820943, 1.20724, 2.00993" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.204492, 0.202339, 0.214342, 0.273676, 0.445055, 0.846903, 1.68284" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.24452; + rise_capacitance : 1.24074; + rise_capacitance_range (1.04689, 1.24074); + fall_capacitance : 1.24452; + fall_capacitance_range (1.01763, 1.24452); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.11377, -3.72902, -2.98975, -0.424804, 2.66327, 3.25898, 0.452887", \ + "-4.83806, -4.45332, -3.71405, -2.35637, 1.93898, 2.53468, -0.271408", \ + "-6.22206, -5.83732, -5.09804, -3.74037, 0.554981, 1.15069, -1.65541", \ + "-7.52441, -4.34944, -3.61017, -5, -1.95465, -1.35894, -3.0371", \ + "-8.58361, -8.19887, -7.4596, -6.10192, -1.80657, -1.21087, -4.01696", \ + "-8.28746, -7.90272, -7.16345, -5.80577, -5.50792, -0.914717, -3.72081", \ + "-7.69516, -7.31042, -2.57364, -3.23944, -0.91812, -0.322416, -3.12851" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.55719, -9.62173, -9.75654, -12.8394, -10.7261, -16.4448, -17.3587", \ + "-14.2511, -10.3181, -10.4529, -10.7455, -11.4225, -17.1412, -22.0526", \ + "-15.6194, -11.6864, -11.8212, -12.1138, -16.7883, -18.5095, -23.4209", \ + "-17.0703, -18.3226, -14.4599, -17.5, -19.427, -21.1482, -24.9219", \ + "-23.1439, -23.2084, -19.3457, -19.6383, -20.3153, -26.034, -30.9454", \ + "-27.3518, -27.4164, -27.5512, -27.8438, -28.5208, -30.242, -35.1534", \ + "-41.4954, -41.5599, -41.6947, -40.8203, -42.6643, -44.3855, -49.2969" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.28516, -2.34493, -2.47289, -1.61377, -3.47617, -5.44198, -7.52959", \ + "-2.32384, -2.38361, -2.51157, -2.80113, -3.51485, -5.48066, -7.56827", \ + "-2.32335, -2.38312, -2.51108, -2.80064, -3.51436, -5.48017, -7.56778", \ + "-1.22559, -2.43404, -2.562, -1.64062, -3.56528, -5.5311, -10.498", \ + "-1.9571, 1.98062, 1.85267, 1.5631, 0.849382, -5.11393, -7.20154", \ + "4.53555, 4.47577, 4.34781, 4.05825, 3.34453, 1.37872, -8.70389", \ + "16.1691, 16.1094, 15.9814, 12.8613, 10.9806, 9.0148, -1.06781" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.7736, 7.27666, 8.2399, 7.18506, 10.0737, 10.2314, 10.5468", \ + "2.85926, 7.35982, 8.32306, 10.078, 10.1568, 10.3145, 10.63", \ + "3.02313, 3.52619, 8.48693, 6.24434, 10.3207, 10.4784, 10.7938", \ + "4.54834, 3.84412, 8.80486, 8.12455, 10.6386, 10.7963, 8.24219", \ + "3.93771, 4.44077, 5.404, 7.15892, 11.2353, 11.393, 11.7084", \ + "4.97411, 5.47717, 6.44041, 8.19533, 12.2717, 12.4294, 12.7448", \ + "6.41941, 6.92247, 7.8857, 11.6406, 13.717, 13.8747, 10.1926" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.28516, -2.34493, -2.47289, -0.424804, 2.66327, 3.25898, 0.452887", \ + "-2.32384, -2.38361, -2.51157, -2.35637, 1.93898, 2.53468, -0.271408", \ + "-2.32335, -2.38312, -2.51108, -2.80064, 0.554981, 1.15069, -1.65541", \ + "-1.22559, -2.43404, -2.562, -1.64062, -1.95465, -1.35894, -3.0371", \ + "-1.9571, 1.98062, 1.85267, 1.5631, 0.849382, -1.21087, -4.01696", \ + "4.53555, 4.47577, 4.34781, 4.05825, 3.34453, 1.37872, -3.72081", \ + "16.1691, 16.1094, 15.9814, 12.8613, 10.9806, 9.0148, -1.06781" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.7736, 7.27666, 8.2399, 7.18506, 10.0737, 10.2314, 10.5468", \ + "2.85926, 7.35982, 8.32306, 10.078, 10.1568, 10.3145, 10.63", \ + "3.02313, 3.52619, 8.48693, 6.24434, 10.3207, 10.4784, 10.7938", \ + "4.54834, 3.84412, 8.80486, 8.12455, 10.6386, 10.7963, 8.24219", \ + "3.93771, 4.44077, 5.404, 7.15892, 11.2353, 11.393, 11.7084", \ + "4.97411, 5.47717, 6.44041, 8.19533, 12.2717, 12.4294, 12.7448", \ + "6.41941, 6.92247, 7.8857, 11.6406, 13.717, 13.8747, 10.1926" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.4182, 16.985, 16.1714, 11.9375, 12.1383, 10.9027, 24.4215", \ + "18.0871, 17.6539, 16.8403, 15.4237, 12.8072, 11.5716, 25.0904", \ + "19.371, 18.9379, 18.1243, 16.7077, 14.0912, 12.8556, 26.3744", \ + "18.7769, 21.2902, 16.4791, 16.0625, 12.446, 15.2079, 26.4643", \ + "22.1159, 21.6828, 20.8691, 19.4526, 16.836, 15.6004, 25.1218", \ + "22.9011, 22.4679, 21.6543, 20.2377, 17.6212, 16.3856, 25.9069", \ + "24.4714, 24.0382, 23.2246, 19.0469, 15.194, 13.9584, 23.4797" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.832, 21.4398, 20.6983, 16.499, 20.1954, 21.8123, 25.0461", \ + "22.9315, 22.5393, 17.8003, 20.4864, 21.2948, 22.9117, 26.1456", \ + "25.0718, 20.6822, 19.9407, 22.6268, 19.4377, 25.0521, 28.2859", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "32.2768, 31.8846, 27.1456, 29.8317, 30.6401, 32.2571, 35.4909", \ + "38.8427, 38.4505, 37.709, 36.3976, 37.2061, 38.823, 42.0568", \ + "52.9709, 48.5812, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.0776, 9.63734, 8.80451, 8.7216, 8.19381, 9.92232, 13.3793", \ + "9.81194, 9.37168, 8.53885, 7.06389, 7.92815, 9.65666, 13.1137", \ + "9.2944, 8.85414, 8.02131, 6.54635, 7.41061, 9.13912, 16.5937", \ + "9.31445, 7.8742, 7.04136, 6.67969, 6.43066, 8.15918, 12.7344", \ + "10.5726, 10.1323, 9.29949, 7.82454, 8.68879, 10.4173, 13.8743", \ + "7.97593, 7.53568, 6.70284, 5.22789, 6.09214, 7.82066, 11.2777", \ + "6.31098, 5.87073, 5.03789, 0.683599, 0.429692, 2.15821, 5.61523" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.28161, 7.79902, 6.89048, 2.37305, 2.65327, 1.35733, 14.7555", \ + "9.01372, 8.53113, 7.62259, 6.0321, 3.38538, 2.08944, 15.4876", \ + "10.4649, 9.98232, 9.07378, 7.48329, 4.83657, 3.54063, 16.9387", \ + "10.3687, 12.8325, 7.9265, 7.67201, 3.68929, 6.39085, 17.7915", \ + "18.8069, 14.3269, 13.4183, 11.8278, 9.18111, 7.88517, 13.2883", \ + "24.9586, 24.476, 23.5675, 21.977, 19.3303, 14.0368, 15.4425", \ + "45.9166, 41.4365, 40.528, 40.9375, 32.2933, 26.9998, 24.408" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.4182, 16.985, 16.1714, 11.9375, 12.1383, 10.9027, 24.4215", \ + "18.0871, 17.6539, 16.8403, 15.4237, 12.8072, 11.5716, 25.0904", \ + "19.371, 18.9379, 18.1243, 16.7077, 14.0912, 12.8556, 26.3744", \ + "18.7769, 21.2902, 16.4791, 16.0625, 12.446, 15.2079, 26.4643", \ + "22.1159, 21.6828, 20.8691, 19.4526, 16.836, 15.6004, 25.1218", \ + "22.9011, 22.4679, 21.6543, 20.2377, 17.6212, 16.3856, 25.9069", \ + "24.4714, 24.0382, 23.2246, 19.0469, 15.194, 13.9584, 23.4797" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.832, 21.4398, 20.6983, 16.499, 20.1954, 21.8123, 25.0461", \ + "22.9315, 22.5393, 17.8003, 20.4864, 21.2948, 22.9117, 26.1456", \ + "25.0718, 20.6822, 19.9407, 22.6268, 19.4377, 25.0521, 28.2859", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "32.2768, 31.8846, 27.1456, 29.8317, 30.6401, 32.2571, 35.4909", \ + "38.8427, 38.4505, 37.709, 36.3976, 37.2061, 38.823, 42.0568", \ + "52.9709, 48.5812, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0110025, 0.0055334, 0.00957103, 0.0309636, 0.0968436, 0.251068, 0.579524" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.320766, 0.320945, 0.324564, 0.348524, 0.42078, 0.584068, 0.930562" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.34261, 0.33928, 0.341111, 0.362065, 0.430792, 0.583744, 0.911079" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0320614, -0.0312942, -0.0243178, 0.0066062, 0.0827907, 0.250044, 0.599556" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0267057, -0.0275151, -0.0186676, 0.00781502, 0.0758686, 0.23035, 0.557151" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.310403, 0.310994, 0.323051, 0.356747, 0.435171, 0.603819, 0.952628" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319886, 0.321547, 0.328948, 0.354636, 0.424097, 0.578389, 0.904192" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0366669, -0.0357387, -0.0238557, 0.0100518, 0.0875661, 0.256248, 0.605869" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127155, 0.127253, 0.13504, 0.164227, 0.267435, 0.549024, 1.19499" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515338, 0.526154, 0.562273, 0.647798, 0.831288, 1.20653, 1.93811" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.379969, 0.37922, 0.387473, 0.416521, 0.520742, 0.802308, 1.44648" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.257365, 0.266868, 0.303433, 0.389526, 0.573634, 0.946499, 1.67607" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.541046, 0.539843, 0.549449, 0.579494, 0.661063, 0.85499, 1.27067" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.587595, 0.585673, 0.594515, 0.627724, 0.72409, 0.942748, 1.39731" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.653634, 0.652558, 0.661923, 0.690761, 0.772907, 0.967851, 1.38298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.469474, 0.467813, 0.476669, 0.511874, 0.606859, 0.825652, 1.28092" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.251671, 0.254449, 0.28093, 0.37164, 0.607452, 1.14501, 2.27666" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.703244, 0.705626, 0.736133, 0.846203, 1.12858, 1.74654, 3.01934" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.712603, 0.717508, 0.74359, 0.833524, 1.07113, 1.60732, 2.73679" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.241443, 0.242803, 0.273934, 0.383371, 0.666424, 1.28387, 2.55742" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0207649, -0.0195236, -0.0122118, 0.0140858, 0.0816198, 0.235888, 0.563373" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.309744, 0.31031, 0.321999, 0.355635, 0.432437, 0.601353, 0.950759" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.328078, 0.32739, 0.335277, 0.361381, 0.430893, 0.584462, 0.911653" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0364837, -0.0371394, -0.0249525, 0.00885362, 0.085908, 0.253994, 0.60426" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.711523; + rise_capacitance : 0.706001; + rise_capacitance_range (0.583944, 0.706001); + fall_capacitance : 0.711523; + fall_capacitance_range (0.605023, 0.711523); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.826719, -0.851083, -0.909103, -3.91113, -5.51491, -7.01981, -8.41094", \ + "-4.9483, -0.975163, -1.03318, -1.18639, -5.63899, -7.14389, -8.53502", \ + "-5.18381, -1.21068, -1.2687, -1.42191, -1.877, -7.37941, -8.77053", \ + "-4.43604, -5.62861, -1.68913, -4.60938, -2.29744, -7.79984, -12.0605", \ + "-5.43335, -5.45772, -5.51574, -5.66895, -6.12404, -7.62894, -13.0176", \ + "-5.09156, -5.11592, -5.17394, -5.32715, -5.78225, -7.28715, -12.6758", \ + "-0.410465, -0.434829, -0.49285, -3.47657, -1.10116, -6.60356, -11.9922" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.79584, 5.23572, 6.07897, 4.80957, 7.77767, 8.09436, 8.72773", \ + "4.82461, 5.26449, 6.10773, 7.6481, 7.80644, 8.12313, 8.7565", \ + "0.874189, 5.31157, 6.15481, 7.69518, 7.85352, 8.17021, 8.80358", \ + "2.13379, 1.3664, 2.20964, 5, 7.90584, 8.22253, 5.98633", \ + "0.863819, 1.3037, 2.14694, 3.68731, 7.84315, 8.15984, 8.79321", \ + "0.0690623, 0.508947, 1.35219, 2.89255, 3.05089, 3.36758, 4.00095", \ + "-4.19793, -3.75804, -2.9148, 0.563248, -1.2161, 3.09809, -0.266036" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.02274, -2.12769, -2.33831, -1.61377, -3.62229, -5.38824, -9.10522", \ + "-2.41272, -2.51767, -2.72829, -3.15243, -4.01227, -5.77822, -9.4952", \ + "-3.16141, -3.26636, -3.47698, -3.90112, -0.763459, -2.52941, -10.2439", \ + "-3.24714, -4.63868, -0.8518, -4.0625, -2.13578, -3.90173, -10.498", \ + "-4.77717, -4.88212, -5.09274, -5.51688, -6.37672, -8.14267, -11.8596", \ + "-5.26406, -5.36901, -5.57963, -6.00377, -6.86361, -8.62956, -16.344", \ + "-6.23783, -6.34278, -6.55341, -5.81055, -7.83739, -9.60334, -17.3178" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.65766, 6.41785, 7.87506, 7.70752, 10.8068, 11.3467, 8.42896", \ + "5.66532, 6.42551, 7.88272, 6.54697, 10.8144, 11.3543, 8.43662", \ + "5.68466, 6.44485, 7.90207, 6.56631, 10.8338, 11.3737, 8.45597", \ + "2.92969, 6.49963, 7.95685, 7.85156, 10.8885, 11.4284, 9.62891", \ + "5.91336, 6.67355, 8.13077, 10.7925, 11.0625, 11.6024, 8.68467", \ + "6.51864, 7.27884, 8.73605, 11.3978, 11.6677, 12.2076, 13.2874", \ + "8.75897, 9.51916, 6.97887, 11.6406, 13.9081, 14.448, 11.5303" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.826719, -0.851083, -0.909103, -1.61377, -3.62229, -5.38824, -8.41094", \ + "-2.41272, -0.975163, -1.03318, -1.18639, -4.01227, -5.77822, -8.53502", \ + "-3.16141, -1.21068, -1.2687, -1.42191, -0.763459, -2.52941, -8.77053", \ + "-3.24714, -4.63868, -0.8518, -4.0625, -2.13578, -3.90173, -10.498", \ + "-4.77717, -4.88212, -5.09274, -5.51688, -6.12404, -7.62894, -11.8596", \ + "-5.09156, -5.11592, -5.17394, -5.32715, -5.78225, -7.28715, -12.6758", \ + "-0.410465, -0.434829, -0.49285, -3.47657, -1.10116, -6.60356, -11.9922" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.65766, 6.41785, 7.87506, 7.70752, 10.8068, 11.3467, 8.72773", \ + "5.66532, 6.42551, 7.88272, 7.6481, 10.8144, 11.3543, 8.7565", \ + "5.68466, 6.44485, 7.90207, 7.69518, 10.8338, 11.3737, 8.80358", \ + "2.92969, 6.49963, 7.95685, 7.85156, 10.8885, 11.4284, 9.62891", \ + "5.91336, 6.67355, 8.13077, 10.7925, 11.0625, 11.6024, 8.79321", \ + "6.51864, 7.27884, 8.73605, 11.3978, 11.6677, 12.2076, 13.2874", \ + "8.75897, 9.51916, 6.97887, 11.6406, 13.9081, 14.448, 11.5303" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.0098, 9.52507, 8.60877, 8.03955, 7.99508, 10.0082, 14.0344", \ + "10.2226, 9.73787, 8.82157, 11.1988, 8.20788, 10.221, 14.2472", \ + "10.6368, 10.1521, 9.23579, 11.613, 8.6221, 10.6352, 14.6614", \ + "12.4707, 10.935, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 11.4023, 13.7796, 10.7886, 12.8017, 16.828", \ + "18.8394, 18.3547, 13.4409, 11.8207, 12.8272, 14.8404, 18.8666", \ + "20.0021, 15.52, 14.6037, 14.1016, 13.99, 16.0031, 20.0293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.2987, 6.83719, 5.95493, 6.37305, 4.86229, 1.84328, 15.7927", \ + "11.8968, 7.43535, 6.55309, 4.97121, 5.46045, 2.44144, 16.3909", \ + "13.0833, 8.62187, 7.73961, 6.15773, 6.64697, 3.62796, 13.5799", \ + "12.4707, 14.9532, 10.0734, 9.65542, 8.98081, 5.9618, 13.507", \ + "19.9281, 19.4641, 18.5818, 13.0024, 13.4917, 10.4727, 12.4297", \ + "28.3226, 27.8587, 26.9764, 21.397, 21.8863, 18.8672, 16.8267", \ + "42.603, 42.139, 37.2592, 36.9057, 32.1691, 29.1501, 27.1095" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5535, 10.1339, 9.34054, 9.9375, 8.80315, 10.5345, 13.9971", \ + "10.5144, 10.0948, 9.3015, 7.89845, 8.7641, 10.4954, 13.958", \ + "10.4547, 10.0351, 9.2418, 7.83876, 8.70441, 10.4357, 13.8983", \ + "11.4404, 9.98933, 9.19601, 8.90625, 8.65862, 10.3899, 14.9707", \ + "14.6093, 14.1897, 13.3963, 11.9933, 12.8589, 14.5903, 18.0529", \ + "20.19, 15.7729, 14.9796, 13.5766, 14.4422, 16.1735, 19.6361", \ + "24.0695, 19.6524, 18.8591, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.81006, 5.02084, 3.52097, 1.9375, 1.74895, -0.42154, 15.225", \ + "6.16499, 5.37577, 3.8759, 5.18788, 2.10388, -0.066613, 15.5799", \ + "6.87768, 6.08847, 4.58859, 5.90057, 2.81658, 0.646085, 16.2926", \ + "9.31445, 7.52524, 10.0229, 4.45312, 4.25335, 2.08285, 10.7344", \ + "15.231, 14.4418, 12.9419, 10.2564, 7.17238, 5.00189, 8.6559", \ + "21.251, 20.4618, 18.9619, 16.2764, 13.1924, 11.0219, 10.6784", \ + "30.0215, 29.2323, 27.7324, 27.0469, 21.9629, 19.7924, 15.4514" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5535, 10.1339, 9.34054, 9.9375, 8.80315, 10.5345, 14.0344", \ + "10.5144, 10.0948, 9.3015, 11.1988, 8.7641, 10.4954, 14.2472", \ + "10.6368, 10.1521, 9.2418, 11.613, 8.70441, 10.6352, 14.6614", \ + "12.4707, 10.935, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 13.3963, 13.7796, 12.8589, 14.5903, 18.0529", \ + "20.19, 18.3547, 14.9796, 13.5766, 14.4422, 16.1735, 19.6361", \ + "24.0695, 19.6524, 18.8591, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.2987, 6.83719, 5.95493, 6.37305, 4.86229, 1.84328, 15.7927", \ + "11.8968, 7.43535, 6.55309, 5.18788, 5.46045, 2.44144, 16.3909", \ + "13.0833, 8.62187, 7.73961, 6.15773, 6.64697, 3.62796, 16.2926", \ + "12.4707, 14.9532, 10.0734, 9.65542, 8.98081, 5.9618, 13.507", \ + "19.9281, 19.4641, 18.5818, 13.0024, 13.4917, 10.4727, 12.4297", \ + "28.3226, 27.8587, 26.9764, 21.397, 21.8863, 18.8672, 16.8267", \ + "42.603, 42.139, 37.2592, 36.9057, 32.1691, 29.1501, 27.1095" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0643211, -0.0684373, -0.0727135, -0.0745266, -0.075677, -0.0755386, -0.0754058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0874067, 0.0880038, 0.0883833, 0.0880657, 0.088175, 0.0885469, 0.0882118" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.110573, 0.106759, 0.105258, 0.103964, 0.103138, 0.10171, 0.100997" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0876633, -0.0891007, -0.0902364, -0.0902575, -0.0900529, -0.0899396, -0.0895018" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0143238, -0.014329, -0.0143631, -0.0145326, -0.0145499, -0.0143673, -0.0141052" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0203431, 0.0203811, 0.020475, 0.0205025, 0.0205358, 0.0205237, 0.0204582" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.109779, 0.109657, 0.109709, 0.111078, 0.11086, 0.110231, 0.109414" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.101731, -0.102348, -0.103065, -0.102911, -0.103081, -0.102324, -0.101538" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0665167, -0.0701279, -0.0725977, -0.0739491, -0.0744709, -0.074977, -0.0750787" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0874913, 0.0877068, 0.0880764, 0.0878135, 0.0886526, 0.088553, 0.0882275" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10898, 0.105949, 0.104607, 0.103663, 0.101574, 0.101592, 0.100854" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0914797, -0.0905796, -0.0909956, -0.0908037, -0.0910906, -0.0907217, -0.0901542" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0804331, -0.0803828, -0.0818355, -0.0812305, -0.0812829, -0.0814363, -0.0813063" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0878841, 0.0882236, 0.0887704, 0.0888725, 0.0889886, 0.0891391, 0.088966" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0482273, 0.0483146, 0.0480905, 0.0481666, 0.0478689, 0.0476842, 0.0474234" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0482273, -0.0483146, -0.0480905, -0.0481666, -0.0478689, -0.0476842, -0.0474234" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193731, 0.195606, 0.212036, 0.267847, 0.414941, 0.741708, 1.41953" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.496635, 0.494465, 0.507492, 0.56454, 0.724022, 1.07603, 1.79637" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.475851, 0.477445, 0.493149, 0.549258, 0.696962, 1.02394, 1.69985" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.213657, 0.211134, 0.224926, 0.281784, 0.441106, 0.792774, 1.51414" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00410867, -0.00437325, -0.00435048, -0.00424361, -0.00424598, -0.00444169, -0.00484112" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0162826, 0.0162575, 0.0163525, 0.016242, 0.0162414, 0.016196, 0.0160856" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.128124, 0.128171, 0.128009, 0.127924, 0.127008, 0.126515, 0.123842" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.103546, -0.103876, -0.104627, -0.104468, -0.104662, -0.103625, -0.103053" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.189143, 0.193416, 0.214803, 0.282438, 0.447884, 0.809962, 1.55141" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.434013, 0.435499, 0.453761, 0.521396, 0.696452, 1.08003, 1.85651" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.427534, 0.431696, 0.452266, 0.52047, 0.68687, 1.04843, 1.78818" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.194716, 0.196139, 0.214449, 0.282046, 0.457255, 0.840168, 1.61757" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0819624, -0.0820565, -0.0831905, -0.0826359, -0.0837741, -0.0828196, -0.0829775" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.100714, 0.100911, 0.101198, 0.102199, 0.102154, 0.101788, 0.101287" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0413081, 0.0411131, 0.041355, 0.0407683, 0.0410843, 0.0406623, 0.0403753" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0304196, -0.0304292, -0.0303172, -0.0308439, -0.0304065, -0.030093, -0.029802" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx2_ASAP7_75t_SL) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 15562.4; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20270.1; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17347.7; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18347.4; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15871.3; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20579.1; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15868.2; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20576.3; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15559.7; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20267.4; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17345.3; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18080.2; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17656.6; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18656.2; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17654.2; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18389.1; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23895.2; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19876.3; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23578.6; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23950.3; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24077.6; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20058.7; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24128.9; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20110; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23893.6; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19874.8; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21221.3; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21593; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23886.9; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24258.6; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21530.1; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21901.8; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20183.3; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "29.8354, 32.2341, 36.3856, 43.6326, 56.5978, 81.0445, 129.174", \ + "30.6492, 33.0435, 37.1952, 44.4437, 57.4064, 81.8475, 129.983", \ + "31.841, 34.2347, 38.3856, 45.6369, 58.5999, 83.0469, 131.177", \ + "33.4464, 35.8541, 39.9894, 47.2379, 60.1976, 84.6426, 132.771", \ + "35.4387, 37.8313, 41.984, 49.2197, 62.1744, 86.634, 134.792", \ + "37.3307, 39.7263, 43.8657, 51.1044, 64.0432, 88.4637, 136.843", \ + "38.0238, 40.4151, 44.5432, 51.7515, 64.6608, 89.0847, 137.201" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.0557, 18.1824, 24.4656, 37.4867, 64.0981, 118.602, 229.834", \ + "15.0566, 18.181, 24.4646, 37.4888, 64.0991, 118.587, 229.829", \ + "15.0518, 18.1742, 24.463, 37.4858, 64.0972, 118.601, 229.833", \ + "15.0548, 18.207, 24.4659, 37.5031, 64.1034, 118.605, 229.834", \ + "15.0508, 18.1779, 24.4695, 37.5583, 64.0982, 118.615, 229.893", \ + "15.1595, 18.3047, 24.5301, 37.5521, 64.2992, 118.917, 230.083", \ + "15.3744, 18.4528, 24.6642, 37.6544, 64.631, 118.632, 233.681" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "27.6378, 30.2164, 34.6392, 41.9482, 54.4651, 77.3478, 121.445", \ + "28.4096, 30.9808, 35.4068, 42.7158, 55.2616, 78.1248, 122.224", \ + "29.694, 32.2611, 36.6838, 43.9929, 56.5365, 79.4001, 123.499", \ + "31.3728, 33.935, 38.3554, 45.6592, 58.2074, 81.072, 125.169", \ + "33.3732, 35.9303, 40.347, 47.6492, 60.168, 83.0357, 127.163", \ + "35.4528, 37.995, 42.4249, 49.7257, 62.2749, 85.1474, 129.244", \ + "36.4315, 38.9758, 43.3769, 50.6956, 63.251, 86.1798, 130.361" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "14.9453, 17.8908, 23.6027, 35.1716, 58.5356, 105.866, 202.718", \ + "14.9358, 17.8847, 23.5974, 35.1591, 58.5308, 105.869, 202.717", \ + "14.8987, 17.8566, 23.5775, 35.154, 58.5228, 105.864, 202.715", \ + "14.9111, 17.8657, 23.601, 35.1601, 58.5324, 105.874, 202.72", \ + "14.8942, 17.859, 23.7629, 35.173, 58.5432, 105.868, 202.746", \ + "15.0246, 17.981, 23.8193, 35.277, 58.6953, 106.505, 202.811", \ + "15.3912, 18.3438, 24.0933, 35.57, 58.9062, 106.515, 204.107" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.3348, 1.25014, 1.14603, 1.05877, 0.999306, 0.960707, 0.935648", \ + "1.34286, 1.25714, 1.15324, 1.06561, 1.00661, 0.967683, 0.942761", \ + "1.36941, 1.28383, 1.17954, 1.09264, 1.03381, 0.994771, 0.969978", \ + "1.44207, 1.35682, 1.25222, 1.16358, 1.10486, 1.06556, 1.04058", \ + "1.6148, 1.52977, 1.42421, 1.33708, 1.27972, 1.23371, 1.20456", \ + "1.99299, 1.90737, 1.80037, 1.71945, 1.6598, 1.62904, 1.61177", \ + "2.77206, 2.68355, 2.57438, 2.48341, 2.44214, 2.40225, 2.60598" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.40903, 1.32095, 1.20819, 1.1055, 1.03722, 0.9939, 0.966044", \ + "1.4145, 1.32631, 1.21405, 1.11124, 1.04274, 0.999416, 0.971833", \ + "1.43974, 1.35173, 1.2395, 1.13697, 1.0688, 1.02549, 0.997965", \ + "1.50967, 1.42109, 1.30865, 1.20507, 1.13699, 1.09438, 1.06654", \ + "1.67733, 1.58872, 1.47718, 1.37276, 1.30626, 1.26273, 1.23571", \ + "2.05647, 1.9655, 1.85302, 1.74525, 1.67505, 1.63058, 1.60287", \ + "2.84987, 2.75862, 2.64153, 2.52914, 2.45289, 2.40577, 2.37678" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.50846, 1.42377, 1.31965, 1.23236, 1.17293, 1.13432, 1.10934", \ + "1.51665, 1.4309, 1.32696, 1.23927, 1.18026, 1.14136, 1.11649", \ + "1.54211, 1.45655, 1.35226, 1.26533, 1.20647, 1.16746, 1.14272", \ + "1.6147, 1.52939, 1.42497, 1.33839, 1.27846, 1.23936, 1.2145", \ + "1.78787, 1.70184, 1.5971, 1.50942, 1.45005, 1.41145, 1.38796", \ + "2.1661, 2.07972, 1.97266, 1.886, 1.82329, 1.78319, 1.75831", \ + "2.94513, 2.857, 2.74717, 2.65566, 2.59184, 2.54903, 2.52474" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.55118, 1.46232, 1.34975, 1.24704, 1.17846, 1.13515, 1.10722", \ + "1.55712, 1.469, 1.3568, 1.25402, 1.1855, 1.14213, 1.11437", \ + "1.58055, 1.49238, 1.38006, 1.27752, 1.20935, 1.16603, 1.13837", \ + "1.64917, 1.56071, 1.44756, 1.34474, 1.27636, 1.23218, 1.20431", \ + "1.81827, 1.72973, 1.62421, 1.51378, 1.44371, 1.39961, 1.36805", \ + "2.19814, 2.10839, 2.00032, 1.89114, 1.82985, 1.8074, 1.73871", \ + "2.99147, 2.90071, 2.78556, 2.67322, 2.59485, 2.5773, 2.58767" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.549676; + rise_capacitance : 0.547113; + rise_capacitance_range (0.451486, 0.547113); + fall_capacitance : 0.549676; + fall_capacitance_range (0.449502, 0.549676); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1934, 23.1934, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726068, 0.736166, 0.774223, 0.870334, 1.08234, 1.51816, 2.38099" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.608242, 0.618184, 0.656837, 0.753047, 0.965203, 1.40021, 2.26268" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340823, 0.347895, 0.375368, 0.444585, 0.610134, 0.974636, 1.72202" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515818, 0.525748, 0.55847, 0.642173, 0.827509, 1.21948, 2.01492" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.490388, 0.497973, 0.523854, 0.593294, 0.759442, 1.12432, 1.87164" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.371333, 0.380974, 0.413897, 0.497514, 0.683055, 1.0743, 1.86941" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.3254, 0.331882, 0.360799, 0.429064, 0.596848, 0.960641, 1.7076" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.550621, 0.560354, 0.592757, 0.675657, 0.8609, 1.2501, 2.04311" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491397, 0.498991, 0.527097, 0.594664, 0.762678, 1.12659, 1.87402" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.364607, 0.374575, 0.407239, 0.489881, 0.675353, 1.06438, 1.85749" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.843497, 0.853406, 0.884625, 0.967358, 1.15432, 1.54122, 2.32636" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.620456, 0.629944, 0.662123, 0.745126, 0.93137, 1.31808, 2.10376" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.735821, 0.747061, 0.785258, 0.880488, 1.08922, 1.52381, 2.38422" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.707565, 0.718691, 0.757595, 0.852852, 1.06142, 1.49592, 2.35464" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.347184, 0.354627, 0.381815, 0.451033, 0.616548, 0.981059, 1.72846" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.511699, 0.522153, 0.554291, 0.637535, 0.823101, 1.2151, 2.0104" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.492819, 0.50044, 0.526468, 0.59566, 0.762381, 1.12677, 1.87414" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372719, 0.382631, 0.415197, 0.498319, 0.683532, 1.07508, 1.86969" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737243, 0.74825, 0.78654, 0.88118, 1.09012, 1.52229, 2.38191" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.743371, 0.754442, 0.793247, 0.888019, 1.0965, 1.52812, 2.38703" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346924, 0.354325, 0.381917, 0.451124, 0.617421, 0.980905, 1.72849" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.511814, 0.521692, 0.554284, 0.637318, 0.823272, 1.21505, 2.01027" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493232, 0.500215, 0.526496, 0.596077, 0.763152, 1.12642, 1.8739" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373431, 0.382841, 0.415862, 0.498817, 0.684757, 1.07572, 1.87028" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.722512, 0.732962, 0.77149, 0.86727, 1.07889, 1.51584, 2.37901" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.604035, 0.614785, 0.654113, 0.750001, 0.960946, 1.3977, 2.26066" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340496, 0.347772, 0.375434, 0.44465, 0.61096, 0.974461, 1.7221" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515947, 0.525493, 0.557946, 0.642728, 0.827583, 1.21952, 2.01491" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.490977, 0.497954, 0.524151, 0.593836, 0.760909, 1.12414, 1.87169" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.371385, 0.380326, 0.413884, 0.49811, 0.683074, 1.07435, 1.86943" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325308, 0.332409, 0.360815, 0.429114, 0.596914, 0.960256, 1.70712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.535386, 0.545351, 0.578391, 0.662314, 0.845623, 1.2357, 2.02703" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491856, 0.499221, 0.527143, 0.594833, 0.763802, 1.12661, 1.87352" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.358703, 0.368613, 0.40182, 0.485757, 0.669122, 1.05913, 1.85073" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.786433, 0.795483, 0.827182, 0.90996, 1.09545, 1.48281, 2.26658" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.607039, 0.616165, 0.648351, 0.731441, 0.91667, 1.30354, 2.08776" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.330055, 0.337058, 0.365988, 0.434217, 0.601905, 0.965769, 1.71283" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.545632, 0.554951, 0.587744, 0.669642, 0.856431, 1.24522, 2.03816" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49895, 0.506188, 0.534406, 0.602048, 0.770723, 1.13429, 1.88138" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.354504, 0.3645, 0.397128, 0.479297, 0.665099, 1.05425, 1.84755" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.839898, 0.849623, 0.881004, 0.963855, 1.15053, 1.53792, 2.32287" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.606993, 0.616499, 0.648625, 0.731783, 0.917792, 1.30475, 2.0905" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.64639; + rise_capacitance : 0.640052; + rise_capacitance_range (0.535905, 0.640052); + fall_capacitance : 0.64639; + fall_capacitance_range (0.577045, 0.64639); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.83355, -2.86355, -2.93139, -5.84961, -3.55813, -8.97722, -13.8293", \ + "-2.9579, -2.9879, -3.05573, -3.2228, -3.68248, -9.10157, -13.9537", \ + "-3.20415, -3.23414, -3.30198, -3.46904, -3.92872, -9.34782, -14.1999", \ + "-6.43799, -3.71682, -3.78466, -6.64062, -4.4114, -9.83049, -13.5449", \ + "-8.61044, -8.64043, -8.70827, -4.87783, -9.33501, -10.7566, -15.6087", \ + "-10.3057, -10.3357, -10.4035, -10.5706, -11.0302, -12.4518, -17.304", \ + "-13.0682, -13.0982, -13.166, -11.588, -13.7928, -19.2119, -24.064" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.72119, 2.21431, 3.15925, 6.1499, 9.02872, 9.32308, 5.91431", \ + "1.53305, 2.02616, 2.97111, 4.69589, 8.84057, 9.13494, 5.72617", \ + "1.19406, 1.68718, 6.62963, 4.3569, 8.50159, 8.79595, 5.38718", \ + "1.95068, 5.1559, 6.10085, 5.15625, 7.97281, 8.26717, 5.98633", \ + "6.58912, 7.08224, 8.02718, 9.75196, 9.89915, 10.1935, 6.78474", \ + "9.66506, 10.1582, 11.1031, 12.8279, 12.9751, 13.2695, 9.86068", \ + "14.1497, 14.6428, 15.5877, 19.3125, 17.4597, 17.754, 14.3453" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.48405, -4.51404, -4.58188, -3.64827, -5.20862, -6.63021, -11.4823", \ + "-4.97061, -5.00061, -5.06844, -5.2355, -5.69518, -7.11678, -11.9689", \ + "-5.91436, -5.94435, -2.01469, -2.18175, -6.63893, -8.06053, -12.9126", \ + "-6.43799, -3.71682, -3.78466, -6.64062, -4.4114, -9.83049, -13.5449", \ + "-6.75664, -6.78663, -6.85447, -7.02153, -7.48121, -8.9028, -13.7549", \ + "-11.0158, -11.0458, -11.1136, -11.2807, -11.7403, -13.1619, -18.014", \ + "-12.012, -12.042, -12.1099, -15.0781, -16.7341, -18.1557, -27.0053" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.00839, 3.38314, 4.10327, 6.67236, 9.71874, 10.3092, 7.49251", \ + "2.7996, 3.17436, 3.89449, 5.21724, 9.50996, 10.1004, 7.28373", \ + "2.41556, 2.79032, 3.51045, 4.8332, 9.12592, 9.71634, 6.89969", \ + "3.38738, 2.15634, 6.87397, 5.50781, 8.49193, 9.08236, 7.37516", \ + "3.1955, 7.56776, 8.28789, 9.61064, 9.90585, 10.4963, 7.67963", \ + "10.0208, 10.3956, 11.1157, 12.4385, 12.7337, 13.3241, 10.5075", \ + "15.6765, 16.0513, 16.7714, 15.3125, 18.3894, 14.9823, 16.1632" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.83355, -2.86355, -2.93139, -3.64827, -3.55813, -6.63021, -11.4823", \ + "-2.9579, -2.9879, -3.05573, -3.2228, -3.68248, -7.11678, -11.9689", \ + "-3.20415, -3.23414, -2.01469, -2.18175, -3.92872, -8.06053, -12.9126", \ + "-6.43799, -3.71682, -3.78466, -6.64062, -4.4114, -9.83049, -13.5449", \ + "-6.75664, -6.78663, -6.85447, -4.87783, -7.48121, -8.9028, -13.7549", \ + "-10.3057, -10.3357, -10.4035, -10.5706, -11.0302, -12.4518, -17.304", \ + "-12.012, -12.042, -12.1099, -11.588, -13.7928, -18.1557, -24.064" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.00839, 3.38314, 4.10327, 6.67236, 9.71874, 10.3092, 7.49251", \ + "2.7996, 3.17436, 3.89449, 5.21724, 9.50996, 10.1004, 7.28373", \ + "2.41556, 2.79032, 6.62963, 4.8332, 9.12592, 9.71634, 6.89969", \ + "3.38738, 5.1559, 6.87397, 5.50781, 8.49193, 9.08236, 7.37516", \ + "6.58912, 7.56776, 8.28789, 9.75196, 9.90585, 10.4963, 7.67963", \ + "10.0208, 10.3956, 11.1157, 12.8279, 12.9751, 13.3241, 10.5075", \ + "15.6765, 16.0513, 16.7714, 19.3125, 18.3894, 17.754, 16.1632" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 13.553, 15.2118, 18.5293", \ + "12.8149, 12.2945, 11.3079, 13.5494, 10.3813, 16.0376, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4091, 16.8912, 15.9047, 18.1462, 14.9781, 16.6368, 19.9544", \ + "22.5864, 22.066, 21.0795, 19.3235, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 28.1574, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.7461, 9.91837, 8.33982, 6.52957, 5.94614, 2.86004, 12.6778", \ + "10.8285, 10.0008, 8.4222, 5.57282, 6.02852, 2.94242, 12.7602", \ + "11.0071, 10.1794, 8.6008, 5.75142, 6.20712, 3.12102, 12.9388", \ + "12.4707, 10.5919, 13.0109, 7.32801, 6.6197, 3.5336, 10.5786", \ + "16.4638, 15.6361, 14.0575, 11.2082, 7.66636, 8.57776, 10.4006", \ + "19.4431, 18.6154, 17.0368, 14.1874, 14.6431, 11.557, 9.38234", \ + "24.9481, 24.1203, 22.5418, 20.8106, 20.1481, 13.0645, 10.8898" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 13.553, 15.2118, 18.5293", \ + "12.8149, 12.2945, 11.3079, 13.5494, 10.3813, 12.0401, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4091, 16.8912, 15.9047, 18.1462, 14.9781, 16.6368, 23.9519", \ + "26.5839, 26.0635, 21.0795, 23.321, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 32.1549, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.5401, 5.10776, 4.2789, 3.83545, 3.06037, 3.61227, 12.7111", \ + "9.95455, 5.52222, 4.69335, 3.19888, 3.47482, 4.02672, 13.1255", \ + "10.7664, 10.3316, 5.50523, 4.01076, 4.2867, 0.841099, 13.9374", \ + "9.33471, 11.8872, 7.06088, 6.67969, 5.84235, 2.39675, 8.73437", \ + "15.161, 14.7261, 9.89976, 8.40528, 8.68123, 5.23562, 10.3369", \ + "19.749, 15.3167, 14.4878, 12.9933, 9.27177, 9.82366, 10.9275", \ + "24.5662, 24.1314, 19.305, 19.0469, 14.089, 10.6434, 11.7472" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 13.553, 15.2118, 18.5293", \ + "12.8149, 12.2945, 11.3079, 13.5494, 10.3813, 16.0376, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4091, 16.8912, 15.9047, 18.1462, 14.9781, 16.6368, 23.9519", \ + "26.5839, 26.0635, 21.0795, 23.321, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 32.1549, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.7461, 9.91837, 8.33982, 6.52957, 5.94614, 3.61227, 12.7111", \ + "10.8285, 10.0008, 8.4222, 5.57282, 6.02852, 4.02672, 13.1255", \ + "11.0071, 10.3316, 8.6008, 5.75142, 6.20712, 3.12102, 13.9374", \ + "12.4707, 11.8872, 13.0109, 7.32801, 6.6197, 3.5336, 10.5786", \ + "16.4638, 15.6361, 14.0575, 11.2082, 8.68123, 8.57776, 10.4006", \ + "19.749, 18.6154, 17.0368, 14.1874, 14.6431, 11.557, 10.9275", \ + "24.9481, 24.1314, 22.5418, 20.8106, 20.1481, 13.0645, 11.7472" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0625467, -0.0622119, -0.0626154, -0.0631562, -0.0627812, -0.0628365, -0.0624526" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0706701, 0.0711983, 0.0711815, 0.071081, 0.0713197, 0.0711399, 0.0710755" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0979823, 0.0978735, 0.098067, 0.0991899, 0.0986549, 0.0984946, 0.0982554" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0899498, -0.0908974, -0.0900143, -0.0902782, -0.0911534, -0.0902978, -0.0899595" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.062563, -0.0626716, -0.062766, -0.0629388, -0.0623287, -0.0625254, -0.0622117" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0712496, 0.071457, 0.0714912, 0.0714811, 0.0719036, 0.0717402, 0.0714814" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0560056, 0.0561958, 0.0559596, 0.0561432, 0.0558488, 0.0558234, 0.0554748" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0560056, -0.0561958, -0.0559596, -0.0561432, -0.0558488, -0.0558234, -0.0554748" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.050118, -0.0536339, -0.0573162, -0.0589293, -0.0609614, -0.061033, -0.06133" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0781875, 0.0783872, 0.0790166, 0.0781262, 0.0787569, 0.0781597, 0.0781939" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102891, 0.100703, 0.0996258, 0.0984545, 0.0989116, 0.0974457, 0.0971099" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0825734, -0.0836476, -0.0850008, -0.0846903, -0.085845, -0.085205, -0.084718" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0477603, -0.0518874, -0.055658, -0.0579778, -0.0595174, -0.0606774, -0.0607969" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0789108, 0.0791816, 0.0794998, 0.0793711, 0.0796119, 0.0789068, 0.0788943" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103179, 0.100729, 0.0997758, 0.098017, 0.0982889, 0.0974761, 0.0969575" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0850528, -0.0856276, -0.0863975, -0.0864043, -0.0868055, -0.085905, -0.0854847" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.061357, -0.0614744, -0.0620442, -0.0616529, -0.0618122, -0.0617825, -0.0614019" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0718875, 0.0725347, 0.0727699, 0.0725394, 0.0727252, 0.0723942, 0.0721832" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.098905, 0.0994256, 0.0995857, 0.100045, 0.100116, 0.0995349, 0.0993395" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0886918, -0.0893742, -0.0899445, -0.0892373, -0.0900481, -0.0891506, -0.0888191" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0620274, -0.0627035, -0.0624036, -0.0630988, -0.0631634, -0.0625551, -0.0626061" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0829281, 0.0833682, 0.0829546, 0.0839814, 0.083653, 0.0831966, 0.0825802" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0543731, 0.0546421, 0.0543804, 0.0547172, 0.0546488, 0.054044, 0.0536745" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0381294, -0.0384242, -0.0380056, -0.0385479, -0.038245, -0.0379721, -0.03775" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.214992, 0.215657, 0.231886, 0.293399, 0.461237, 0.845782, 1.64916" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.584312, 0.580619, 0.588899, 0.643958, 0.81133, 1.21004, 2.03878" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.579174, 0.579731, 0.595272, 0.656144, 0.824836, 1.20978, 2.00935" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.215355, 0.21221, 0.221127, 0.274777, 0.442934, 0.84037, 1.67058" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218166, 0.220379, 0.239166, 0.304253, 0.475827, 0.86191, 1.66897" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.556301, 0.55472, 0.565751, 0.626102, 0.796623, 1.19936, 2.03516" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.563507, 0.565904, 0.583438, 0.648547, 0.820757, 1.20609, 2.00981" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.206238, 0.20393, 0.216042, 0.275132, 0.446245, 0.848851, 1.68464" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.24403; + rise_capacitance : 1.23887; + rise_capacitance_range (1.04643, 1.23887); + fall_capacitance : 1.24403; + fall_capacitance_range (1.02167, 1.24403); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.12311, -1.26607, 0.373432, 0.64209, 3.3507, 3.34373, 3.32978", \ + "-2.66824, -1.8112, -0.171699, -1.18844, -1.19193, 2.7986, -1.21285", \ + "-3.71298, -2.85595, -1.21645, -2.23319, 1.76082, 1.75385, -2.2576", \ + "-8.33252, -4.76339, -3.12388, -2.8125, -0.146612, -0.153586, -3.0371", \ + "-5.79411, -4.93708, -7.29507, -4.31431, -4.3178, -0.327275, -4.33872", \ + "-6.14149, -5.28445, -7.64245, -4.66169, -4.66518, -0.674654, -4.6861", \ + "-6.83625, -5.97921, -4.33971, -4.14063, -1.36244, -1.36941, -1.38336" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.8213, -11.9277, -12.143, -11.3184, -13.5085, -15.5244, -20.2258", \ + "-12.6929, -12.7993, -13.0147, -13.4559, -14.3801, -16.396, -21.0975", \ + "-14.398, -14.5044, -14.7197, -15.1609, -16.0852, -18.1011, -22.8025", \ + "-16.4087, -17.7614, -17.9768, -17.1094, -15.3447, -17.3606, -24.9219", \ + "-19.5595, -19.6659, -19.8813, -20.3225, -21.2467, -23.2626, -27.9641", \ + "-28.9151, -29.0214, -29.2368, -29.678, -30.6022, -32.6181, -37.3196", \ + "-41.8298, -41.9362, -38.1541, -41.3965, -39.5195, -41.5354, -50.2344" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.0318, -0.754556, -0.239278, -2.15576, -0.754347, -3.53197, -9.08721", \ + "-0.826403, -0.549162, -0.0338843, -3.15764, -0.548953, -3.32657, -8.88182", \ + "-0.411223, -0.133982, 0.381296, -2.74246, -4.13127, -2.91139, -8.46664", \ + "-2.35352, 0.713949, 1.22923, -0.625, -3.28334, -2.06346, -10.498", \ + "-1.79464, 2.4801, -1.00212, -0.128382, -1.51719, -4.29481, -9.85006", \ + "6.0163, 6.29354, 2.81132, 3.68506, 2.29625, -0.481372, -6.03661", \ + "14.7678, 15.045, 15.5603, 13.6328, 11.0477, 8.27009, -1.28266" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.16748, 4.67465, 5.64585, 8.68164, 11.5033, 11.6832, 12.0432", \ + "4.01014, 4.5173, 5.48851, 7.25843, 11.3459, 11.5259, 11.8858", \ + "3.71974, 4.22691, 5.19811, 6.96803, 11.0555, 11.2355, 11.5954", \ + "4.52148, 7.74075, 8.71196, 7.8125, 10.5719, 10.7518, 8.24219", \ + "8.20903, 8.71619, 9.6874, 11.4573, 11.5473, 11.7273, 12.0872", \ + "6.16242, 6.66959, 7.64079, 9.41071, 13.4982, 13.6782, 10.0406", \ + "10.0642, 10.5714, 11.5426, 15.3125, 13.4025, 13.5824, 9.94488" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.0318, -0.754556, 0.373432, 0.64209, 3.3507, 3.34373, 3.32978", \ + "-0.826403, -0.549162, -0.0338843, -1.18844, -0.548953, 2.7986, -1.21285", \ + "-0.411223, -0.133982, 0.381296, -2.23319, 1.76082, 1.75385, -2.2576", \ + "-2.35352, 0.713949, 1.22923, -0.625, -0.146612, -0.153586, -3.0371", \ + "-1.79464, 2.4801, -1.00212, -0.128382, -1.51719, -0.327275, -4.33872", \ + "6.0163, 6.29354, 2.81132, 3.68506, 2.29625, -0.481372, -4.6861", \ + "14.7678, 15.045, 15.5603, 13.6328, 11.0477, 8.27009, -1.28266" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.16748, 4.67465, 5.64585, 8.68164, 11.5033, 11.6832, 12.0432", \ + "4.01014, 4.5173, 5.48851, 7.25843, 11.3459, 11.5259, 11.8858", \ + "3.71974, 4.22691, 5.19811, 6.96803, 11.0555, 11.2355, 11.5954", \ + "4.52148, 7.74075, 8.71196, 7.8125, 10.5719, 10.7518, 8.24219", \ + "8.20903, 8.71619, 9.6874, 11.4573, 11.5473, 11.7273, 12.0872", \ + "6.16242, 6.66959, 7.64079, 9.41071, 13.4982, 13.6782, 10.0406", \ + "10.0642, 10.5714, 11.5426, 15.3125, 13.4025, 13.5824, 9.94488" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.4182, 16.9984, 16.1982, 11.9375, 11.0096, 11.514, 16.5204", \ + "18.0871, 17.6674, 16.8672, 15.4237, 11.6785, 12.1829, 17.1894", \ + "19.371, 18.9513, 18.1511, 16.7077, 12.9624, 13.4669, 18.4733", \ + "18.7769, 21.3036, 16.5059, 16.0625, 15.3147, 11.8217, 18.8281", \ + "22.1159, 21.6962, 20.896, 19.4526, 15.7073, 16.2118, 21.2182", \ + "22.9011, 22.4814, 21.6812, 20.2377, 16.4925, 16.9969, 22.0034", \ + "24.4714, 24.0517, 23.2515, 19.0469, 18.0628, 14.5697, 19.5762" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.832, 21.4398, 20.6983, 16.499, 20.1954, 21.8123, 25.0461", \ + "22.9315, 22.5393, 21.7978, 20.4864, 21.2948, 22.9117, 26.1456", \ + "25.0718, 20.6822, 19.9407, 22.6268, 23.4352, 25.0521, 28.2859", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "32.2768, 31.8846, 31.1431, 29.8317, 30.6401, 32.2571, 35.4909", \ + "38.8427, 38.4505, 37.709, 36.3976, 37.2061, 38.823, 42.0568", \ + "52.9709, 48.5812, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.79956, 9.34565, 8.49016, 8.03955, 8.17226, 10.5397, 15.2747", \ + "9.58345, 9.12954, 8.27405, 6.77242, 7.95615, 10.3236, 15.0585", \ + "9.16419, 8.71027, 7.85478, 6.35315, 7.53689, 9.90435, 14.6393", \ + "9.44043, 7.92353, 7.06804, 6.67969, 6.75014, 9.1176, 14.9707", \ + "11.0086, 10.5547, 9.69918, 8.19755, 9.38128, 7.75125, 16.4837", \ + "9.10442, 8.65051, 7.79502, 6.29339, 7.47712, 5.84708, 14.5795", \ + "8.6103, 8.15639, 7.30089, 2.91993, 2.9855, 1.35546, 6.09039" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.04623, 7.61088, 6.7832, 2.37305, 1.77876, 2.73131, 12.6314", \ + "8.81557, 8.38022, 7.55254, 6.06933, 2.54811, 3.50065, 13.4008", \ + "10.3376, 9.90226, 9.07458, 3.59387, 4.07015, 1.0252, 14.9228", \ + "10.3687, 12.8798, 8.0546, 8.14277, 7.04766, 4.00271, 11.9053", \ + "19.0039, 14.571, 13.7434, 12.2602, 8.73893, 9.69148, 11.5966", \ + "25.3189, 24.8835, 24.0559, 22.5727, 19.0514, 16.0065, 13.9141", \ + "45.6812, 45.2459, 40.4207, 40.9375, 35.4163, 28.3738, 22.2839" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.4182, 16.9984, 16.1982, 11.9375, 11.0096, 11.514, 16.5204", \ + "18.0871, 17.6674, 16.8672, 15.4237, 11.6785, 12.1829, 17.1894", \ + "19.371, 18.9513, 18.1511, 16.7077, 12.9624, 13.4669, 18.4733", \ + "18.7769, 21.3036, 16.5059, 16.0625, 15.3147, 11.8217, 18.8281", \ + "22.1159, 21.6962, 20.896, 19.4526, 15.7073, 16.2118, 21.2182", \ + "22.9011, 22.4814, 21.6812, 20.2377, 16.4925, 16.9969, 22.0034", \ + "24.4714, 24.0517, 23.2515, 19.0469, 18.0628, 14.5697, 19.5762" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.832, 21.4398, 20.6983, 16.499, 20.1954, 21.8123, 25.0461", \ + "22.9315, 22.5393, 21.7978, 20.4864, 21.2948, 22.9117, 26.1456", \ + "25.0718, 20.6822, 19.9407, 22.6268, 23.4352, 25.0521, 28.2859", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "32.2768, 31.8846, 31.1431, 29.8317, 30.6401, 32.2571, 35.4909", \ + "38.8427, 38.4505, 37.709, 36.3976, 37.2061, 38.823, 42.0568", \ + "52.9709, 48.5812, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0117633, 0.00812601, 0.0103247, 0.0318794, 0.0982187, 0.252567, 0.581157" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.318801, 0.318154, 0.321956, 0.34652, 0.418714, 0.5821, 0.92861" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.337371, 0.334781, 0.336545, 0.357569, 0.42534, 0.580078, 0.907434" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0297812, -0.0282233, -0.021385, 0.00985029, 0.0860784, 0.25367, 0.603341" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0255714, -0.0258021, -0.0181374, 0.00950864, 0.0767168, 0.231939, 0.558935" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.307871, 0.308901, 0.320607, 0.354065, 0.43314, 0.601096, 0.950872" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.315225, 0.316502, 0.324815, 0.351393, 0.420212, 0.57441, 0.900607" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0321615, -0.030832, -0.0209323, 0.0133397, 0.0908742, 0.259371, 0.609505" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.129308, 0.128364, 0.137164, 0.166785, 0.270486, 0.552428, 1.19886" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.510425, 0.521722, 0.556347, 0.643598, 0.827809, 1.20265, 1.93323" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.377136, 0.376827, 0.383469, 0.414051, 0.518091, 0.80088, 1.44552" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.256816, 0.267036, 0.302389, 0.390207, 0.573745, 0.947097, 1.67611" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.543049, 0.541849, 0.552164, 0.581662, 0.664314, 0.857378, 1.27301" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.584339, 0.583136, 0.5897, 0.624154, 0.720398, 0.939293, 1.3937" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.650052, 0.650196, 0.659447, 0.688402, 0.771006, 0.965445, 1.38054" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47161, 0.471428, 0.477245, 0.512726, 0.607714, 0.826715, 1.28221" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.253968, 0.2567, 0.282879, 0.374137, 0.610091, 1.14762, 2.2808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.698916, 0.702017, 0.732243, 0.842429, 1.12685, 1.74368, 3.01633" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.71056, 0.714597, 0.73988, 0.830472, 1.06827, 1.60361, 2.73579" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.241579, 0.244546, 0.275539, 0.385303, 0.669386, 1.28598, 2.55987" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0183893, -0.0181118, -0.0100766, 0.0166912, 0.0844591, 0.238671, 0.566443" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306089, 0.306964, 0.318463, 0.351436, 0.428903, 0.598027, 0.947548" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.324066, 0.325037, 0.332552, 0.358899, 0.427877, 0.58083, 0.909283" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0354427, -0.0333739, -0.0234622, 0.0103504, 0.08786, 0.256441, 0.606471" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.688309; + rise_capacitance : 0.682368; + rise_capacitance_range (0.559419, 0.682368); + fall_capacitance : 0.688309; + fall_capacitance_range (0.582724, 0.688309); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.51733, -4.19871, -3.60193, -0.570312, -3.74567, -6.0964, -10.7978", \ + "-0.894982, -0.576353, -3.97708, -2.94546, -4.12082, -6.47155, -11.173", \ + "-1.61165, -1.29302, -4.69374, -3.66213, -4.83749, -7.18821, -11.8897", \ + "-5.68115, -2.59183, -1.99506, -3.67188, -2.1388, -4.48952, -12.0605", \ + "-2.81769, -2.49906, -5.89978, -4.86816, -6.04353, -8.39425, -13.0957", \ + "-2.63214, -2.31351, -5.71424, -4.68262, -5.85798, -8.2087, -12.9102", \ + "-2.26105, -1.94242, -1.34565, -3.11524, -1.48939, -3.84011, -12.5391" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.72119, 6.21181, 7.15675, 6.1499, 9.02872, 9.32308, 9.91181", \ + "1.56613, 6.05675, 7.0017, 4.72897, 8.87366, 9.16802, 9.75675", \ + "1.26023, 5.75084, 6.69579, 8.42057, 8.56775, 8.86212, 9.45085", \ + "1.95068, 5.1559, 6.10085, 5.15625, 7.97281, 8.26717, 5.98633", \ + "3.54033, 4.03345, 4.9784, 6.70318, 6.85036, 7.14472, 7.73345", \ + "1.5652, 2.05832, 3.00327, 4.72805, 4.87523, 5.16959, 5.75833", \ + "-1.30597, -0.812858, 0.132091, -0.14063, 2.00405, 2.29842, -1.11035" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.907285, -0.653844, -0.180153, -2.15576, -0.391624, -2.4438, -10.5456", \ + "-1.07093, -0.817485, -0.343793, 0.470823, -0.555265, -2.60744, -6.71179", \ + "-1.3875, -1.13406, -0.660371, 0.154245, -0.871842, -2.92402, -7.02837", \ + "-4.76807, -1.7244, -1.25071, -3.16406, -1.46218, -3.51436, -10.498", \ + "-2.98726, -2.73382, -2.26012, -5.44301, -2.4716, -4.52377, -12.6256", \ + "-6.94842, -6.69498, -6.22129, -5.40667, -6.43276, -8.48493, -12.5893", \ + "-4.24836, -3.99491, -3.52122, -5.50782, -7.73019, -9.78237, -17.8842" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.58051, 7.02245, 7.87054, 6.67236, 9.66558, 10.1497, 11.1179", \ + "6.787, 7.22895, 8.07704, 9.63002, 9.87207, 10.3562, 11.3244", \ + "3.19462, 7.63407, 8.48216, 10.0351, 10.2772, 10.7613, 11.7295", \ + "5.23926, 4.41533, 9.26092, 8.125, 11.056, 11.5401, 9.62891", \ + "5.40501, 5.84696, 6.69505, 8.24803, 12.4876, 12.9717, 9.94238", \ + "7.76465, 8.2066, 9.05469, 10.6077, 10.8497, 11.3338, 12.302", \ + "10.4695, 10.9114, 11.7595, 15.3125, 13.5545, 14.0386, 11.0093" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.907285, -0.653844, -0.180153, -0.570312, -0.391624, -2.4438, -10.5456", \ + "-0.894982, -0.576353, -0.343793, 0.470823, -0.555265, -2.60744, -6.71179", \ + "-1.3875, -1.13406, -0.660371, 0.154245, -0.871842, -2.92402, -7.02837", \ + "-4.76807, -1.7244, -1.25071, -3.16406, -1.46218, -3.51436, -10.498", \ + "-2.81769, -2.49906, -2.26012, -4.86816, -2.4716, -4.52377, -12.6256", \ + "-2.63214, -2.31351, -5.71424, -4.68262, -5.85798, -8.2087, -12.5893", \ + "-2.26105, -1.94242, -1.34565, -3.11524, -1.48939, -3.84011, -12.5391" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.58051, 7.02245, 7.87054, 6.67236, 9.66558, 10.1497, 11.1179", \ + "6.787, 7.22895, 8.07704, 9.63002, 9.87207, 10.3562, 11.3244", \ + "3.19462, 7.63407, 8.48216, 10.0351, 10.2772, 10.7613, 11.7295", \ + "5.23926, 5.1559, 9.26092, 8.125, 11.056, 11.5401, 9.62891", \ + "5.40501, 5.84696, 6.69505, 8.24803, 12.4876, 12.9717, 9.94238", \ + "7.76465, 8.2066, 9.05469, 10.6077, 10.8497, 11.3338, 12.302", \ + "10.4695, 10.9114, 11.7595, 15.3125, 13.5545, 14.0386, 11.0093" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.0098, 9.52507, 8.60877, 8.03955, 7.99508, 10.0082, 18.0319", \ + "10.2226, 9.73787, 8.82157, 11.1988, 8.20788, 10.221, 18.2447", \ + "10.6368, 10.1521, 9.23579, 11.613, 8.6221, 10.6352, 14.6614", \ + "12.4707, 10.935, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 15.3998, 13.7796, 10.7886, 12.8017, 16.828", \ + "18.8394, 18.3547, 17.4384, 15.8182, 12.8272, 14.8404, 18.8666", \ + "20.0021, 19.5175, 18.6012, 14.1016, 13.99, 16.0031, 20.0293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.97718, 8.25167, 6.86842, 6.37305, 4.80488, 1.67105, 11.3934", \ + "9.31021, 8.5847, 7.20145, 4.70608, 5.13791, 2.00408, 11.7264", \ + "9.99218, 9.26666, 7.88341, 9.38554, 5.81988, 2.68604, 12.4084", \ + "12.4707, 14.6917, 13.3084, 8.63109, 7.24738, 4.11354, 11.1323", \ + "18.5265, 17.801, 16.4177, 13.9223, 10.3567, 11.2203, 12.9477", \ + "29.7598, 25.0368, 23.6535, 25.1557, 21.59, 18.4562, 16.186", \ + "40.3053, 39.5798, 38.1965, 36.9375, 36.133, 29.0017, 26.7315" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.82593, 9.40632, 8.613, 8.48242, 8.07561, 9.80692, 13.2695", \ + "9.90071, 9.48111, 8.68779, 7.28474, 8.1504, 9.8817, 13.3443", \ + "10.0588, 9.63918, 8.84586, 7.44282, 8.30847, 10.0398, 13.5024", \ + "11.4404, 9.98933, 9.19601, 8.90625, 8.65862, 10.3899, 14.9707", \ + "15.2428, 14.8232, 14.0298, 12.6268, 13.4925, 11.2263, 18.6864", \ + "17.4595, 17.0399, 16.2466, 14.8436, 15.7092, 17.4405, 20.9031", \ + "24.0695, 23.6499, 22.8566, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.81006, 5.02755, 3.53439, 1.9375, 1.18534, 1.88511, 11.2797", \ + "6.16499, 5.38248, 3.88932, 1.19038, 1.54026, 2.24004, 11.6346", \ + "6.87768, 6.09518, 4.60201, 5.90057, 2.25296, 2.95274, 12.3473", \ + "9.31445, 11.5294, 10.0363, 4.45312, 3.68973, 4.38951, 7.78906", \ + "15.231, 14.4485, 12.9553, 10.2564, 6.60876, 7.30854, 8.70809", \ + "21.251, 20.4685, 18.9754, 16.2764, 12.6288, 9.33108, 10.7306", \ + "30.0215, 29.239, 27.7458, 27.0469, 21.3993, 18.1015, 15.5036" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.0098, 9.52507, 8.613, 8.48242, 8.07561, 10.0082, 18.0319", \ + "10.2226, 9.73787, 8.82157, 11.1988, 8.20788, 10.221, 18.2447", \ + "10.6368, 10.1521, 9.23579, 11.613, 8.6221, 10.6352, 14.6614", \ + "12.4707, 10.935, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 15.3998, 13.7796, 13.4925, 12.8017, 18.6864", \ + "18.8394, 18.3547, 17.4384, 15.8182, 15.7092, 17.4405, 20.9031", \ + "24.0695, 23.6499, 22.8566, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.97718, 8.25167, 6.86842, 6.37305, 4.80488, 1.88511, 11.3934", \ + "9.31021, 8.5847, 7.20145, 4.70608, 5.13791, 2.24004, 11.7264", \ + "9.99218, 9.26666, 7.88341, 9.38554, 5.81988, 2.95274, 12.4084", \ + "12.4707, 14.6917, 13.3084, 8.63109, 7.24738, 4.38951, 11.1323", \ + "18.5265, 17.801, 16.4177, 13.9223, 10.3567, 11.2203, 12.9477", \ + "29.7598, 25.0368, 23.6535, 25.1557, 21.59, 18.4562, 16.186", \ + "40.3053, 39.5798, 38.1965, 36.9375, 36.133, 29.0017, 26.7315" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.063166, -0.0678585, -0.0716566, -0.0735118, -0.0746237, -0.0744939, -0.0743692" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0878388, 0.0881773, 0.0888177, 0.088323, 0.0882273, 0.088985, 0.0886496" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104403, 0.101486, 0.0994406, 0.0981477, 0.0972843, 0.095909, 0.0952085" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0807978, -0.0818145, -0.0829629, -0.0827065, -0.0825046, -0.0826689, -0.0822479" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0104849, -0.0106253, -0.0105455, -0.0105759, -0.0106386, -0.0105137, -0.0102594" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0179953, 0.0180293, 0.0181025, 0.018088, 0.0181476, 0.0181231, 0.0180814" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106596, 0.107674, 0.107134, 0.107469, 0.108037, 0.107405, 0.106625" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0972115, -0.0981173, -0.0989436, -0.0979818, -0.0987506, -0.0978555, -0.0972401" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0649416, -0.069001, -0.0713834, -0.073558, -0.0735148, -0.0741425, -0.0741389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0879116, 0.088099, 0.0885056, 0.088384, 0.0887329, 0.0888832, 0.0886701" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.102809, 0.100073, 0.0986054, 0.0981131, 0.0961964, 0.0958332, 0.0951952" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.083047, -0.0833773, -0.0838225, -0.0836458, -0.0836282, -0.083235, -0.0828974" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0767794, -0.0776485, -0.0778704, -0.0776132, -0.0772895, -0.0774362, -0.0773064" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0852936, 0.0857408, 0.0862634, 0.086131, 0.0863853, 0.0866184, 0.0864502" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.045478, 0.0455537, 0.0452696, 0.0454295, 0.0450032, 0.0448489, 0.0445984" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.045478, -0.0455537, -0.0452696, -0.0454295, -0.0450032, -0.0448489, -0.0445984" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197894, 0.199309, 0.215615, 0.272048, 0.418907, 0.745689, 1.42345" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494935, 0.49306, 0.505632, 0.563122, 0.72199, 1.07368, 1.79444" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472396, 0.47465, 0.490304, 0.546638, 0.693513, 1.02114, 1.69668" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218591, 0.216535, 0.229705, 0.286884, 0.445857, 0.79763, 1.51892" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00260921, 0.00248911, 0.00233977, 0.0022166, 0.002261, 0.00215348, 0.0018108" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0110627, 0.0113666, 0.0112576, 0.0111635, 0.0111163, 0.0110878, 0.0109744" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127268, 0.127406, 0.128152, 0.128528, 0.12759, 0.126602, 0.123717" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.101602, -0.10254, -0.102975, -0.10324, -0.103107, -0.102163, -0.101449" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193205, 0.197562, 0.218776, 0.286043, 0.451083, 0.812434, 1.55524" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.432609, 0.433097, 0.451313, 0.519467, 0.693946, 1.07771, 1.85471" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.424165, 0.428757, 0.449486, 0.517142, 0.681999, 1.04395, 1.7852" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.200187, 0.200746, 0.219001, 0.286887, 0.461727, 0.844583, 1.62263" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.074843, -0.0754649, -0.0764661, -0.0768127, -0.0769978, -0.0760983, -0.0762544" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0955678, 0.0956929, 0.0959859, 0.0969366, 0.0968909, 0.0965447, 0.0960521" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0410653, 0.0410662, 0.0411812, 0.0411041, 0.0409375, 0.0405446, 0.0402707" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0283375, -0.0290627, -0.0287561, -0.0292195, -0.0288007, -0.0284973, -0.0282185" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx3_ASAP7_75t_SL) { + area : 0.39366; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 18397.6; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24031.7; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20182.8; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22108.9; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18706.4; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24340.6; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18703.3; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24337.8; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18394.9; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24028.9; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20180.4; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21841.7; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20491.7; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22417.8; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20489.4; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22150.6; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27660.4; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22711.8; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27340.5; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26789; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27842.8; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22894.2; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27894.1; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22945.5; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27658.8; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22710.2; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24983.2; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24431.7; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27648.8; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27097.3; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25292; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24740.5; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23482.7; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "34.3078, 36.1488, 39.2901, 44.7895, 54.2302, 71.3614, 103.886", \ + "35.108, 36.9463, 40.0941, 45.5879, 55.0279, 72.1598, 104.687", \ + "36.2931, 38.1317, 41.2748, 46.7744, 56.2149, 73.3483, 105.873", \ + "37.8847, 39.7113, 42.8528, 48.3525, 57.8036, 74.9169, 107.435", \ + "39.8134, 41.6513, 44.7971, 50.3122, 59.7512, 76.8653, 109.393", \ + "41.6548, 43.4946, 46.6583, 52.135, 61.5602, 78.6985, 111.395", \ + "42.4148, 44.2419, 47.39, 52.881, 62.3144, 79.3863, 111.87" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "18.7475, 20.5819, 24.4351, 32.5516, 49.8013, 85.2981, 158.182", \ + "18.7467, 20.5789, 24.4365, 32.5571, 49.7929, 85.2969, 158.183", \ + "18.7373, 20.572, 24.4284, 32.5534, 49.7976, 85.2976, 158.182", \ + "18.7613, 20.5777, 24.4299, 32.5991, 49.8534, 85.3194, 158.196", \ + "18.7298, 20.6794, 24.4442, 32.6317, 49.8209, 85.3113, 158.177", \ + "18.8601, 20.667, 24.5163, 32.6142, 49.8698, 85.7133, 158.539", \ + "19.1192, 20.9489, 24.7643, 32.7831, 49.9652, 85.364, 158.931" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "32.2976, 34.2134, 37.582, 43.3053, 52.7771, 69.3798, 99.8641", \ + "33.0753, 34.9907, 38.359, 44.0793, 53.5526, 70.1305, 100.634", \ + "34.3163, 36.2316, 39.5987, 45.3198, 54.7901, 71.3502, 101.88", \ + "35.9612, 37.8985, 41.2476, 46.9707, 56.4379, 72.9883, 103.514", \ + "37.8623, 39.7733, 43.1385, 48.8609, 58.3264, 74.8731, 105.408", \ + "39.7801, 41.6938, 45.0753, 50.7847, 60.2723, 76.8445, 107.365", \ + "40.6386, 42.5554, 45.9009, 51.6357, 61.1308, 77.7515, 108.302" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.0697, 20.7334, 24.3179, 31.7059, 47.1123, 78.6652, 142.95", \ + "19.0584, 20.7232, 24.3061, 31.6985, 47.0992, 78.6313, 142.895", \ + "19.0058, 20.676, 24.2663, 31.6673, 47.0753, 78.6128, 142.942", \ + "18.9843, 20.6977, 24.2564, 31.6907, 47.0868, 78.6429, 142.932", \ + "18.8884, 20.5802, 24.1941, 31.7238, 47.1072, 78.6024, 142.88", \ + "18.9197, 20.6064, 24.2299, 31.6787, 47.3664, 78.9629, 142.995", \ + "19.1089, 20.824, 24.4781, 31.9758, 47.3677, 79.0283, 143.501" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.13319, 2.0197, 1.8365, 1.61476, 1.43, 1.30527, 1.22324", \ + "2.14009, 2.02586, 1.84411, 1.62153, 1.43668, 1.31193, 1.23002", \ + "2.16621, 2.05207, 1.86903, 1.6477, 1.46294, 1.33809, 1.2562", \ + "2.23893, 2.12444, 1.94176, 1.71776, 1.52783, 1.4046, 1.31749", \ + "2.40891, 2.29762, 2.11333, 1.89376, 1.70491, 1.57589, 1.49337", \ + "2.79051, 2.67482, 2.49152, 2.26515, 2.08178, 1.98583, 1.91593", \ + "3.56589, 3.45327, 3.26724, 3.03866, 2.8429, 2.72873, 2.696" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.27101, 2.14879, 1.95831, 1.71729, 1.49673, 1.35077, 1.26051", \ + "2.27605, 2.15421, 1.96406, 1.72244, 1.50229, 1.35675, 1.26639", \ + "2.29835, 2.17664, 1.9867, 1.74558, 1.52604, 1.38124, 1.29101", \ + "2.36573, 2.24481, 2.05396, 1.81445, 1.59266, 1.45191, 1.36102", \ + "2.52501, 2.40387, 2.21384, 1.97728, 1.75749, 1.61207, 1.52341", \ + "2.89696, 2.77768, 2.58428, 2.34791, 2.12686, 1.97906, 1.88918", \ + "3.687, 3.56746, 3.37312, 3.1309, 2.90062, 2.74822, 2.65633" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.30704, 2.19353, 2.0103, 1.78854, 1.60372, 1.47903, 1.39712", \ + "2.31409, 2.19982, 2.01802, 1.79538, 1.6105, 1.4857, 1.40393", \ + "2.33931, 2.22518, 2.04213, 1.82076, 1.63589, 1.51103, 1.42923", \ + "2.41395, 2.29844, 2.11573, 1.89435, 1.71336, 1.58455, 1.50276", \ + "2.5816, 2.46826, 2.28459, 2.06268, 1.87802, 1.75336, 1.6698", \ + "2.96238, 2.8468, 2.66367, 2.43854, 2.24946, 2.12445, 2.04245", \ + "3.73885, 3.62575, 3.44111, 3.20807, 3.01482, 2.88292, 2.79701" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.4158, 2.29402, 2.10386, 1.86279, 1.64288, 1.49702, 1.40646", \ + "2.4222, 2.30043, 2.11037, 1.8688, 1.64866, 1.50305, 1.41253", \ + "2.44313, 2.32132, 2.13135, 1.89026, 1.6708, 1.5262, 1.43568", \ + "2.50951, 2.38793, 2.19723, 1.95546, 1.73655, 1.58484, 1.49203", \ + "2.66991, 2.55038, 2.35914, 2.12877, 1.9074, 1.75175, 1.65369", \ + "3.04198, 2.92393, 2.72952, 2.49051, 2.30204, 2.15098, 2.02727", \ + "3.83165, 3.70985, 3.5198, 3.27228, 3.05059, 2.91193, 2.85777" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.549921; + rise_capacitance : 0.546935; + rise_capacitance_range (0.451931, 0.546935); + fall_capacitance : 0.549921; + fall_capacitance_range (0.449642, 0.549921); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.5176, 30.5176, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726008, 0.736689, 0.774762, 0.870863, 1.08302, 1.51952, 2.38385" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.60827, 0.618582, 0.657279, 0.753492, 0.965774, 1.40147, 2.26544" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341125, 0.348362, 0.375564, 0.444765, 0.610205, 0.974647, 1.72197" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516883, 0.527159, 0.559291, 0.642277, 0.828193, 1.22015, 2.01562" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.490449, 0.498227, 0.524134, 0.59385, 0.759433, 1.12437, 1.87149" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37226, 0.381818, 0.414722, 0.497469, 0.683667, 1.07493, 1.87006" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325367, 0.332407, 0.36141, 0.429548, 0.597458, 0.960788, 1.70802" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.551651, 0.561076, 0.593599, 0.676786, 0.861657, 1.25206, 2.04391" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.492001, 0.499472, 0.527752, 0.595291, 0.764464, 1.12708, 1.87448" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.365491, 0.3749, 0.408042, 0.490925, 0.6761, 1.06583, 1.85826" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.844221, 0.854158, 0.885163, 0.967803, 1.15406, 1.54173, 2.32762" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.621042, 0.630762, 0.66262, 0.745676, 0.931066, 1.31855, 2.10464" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.736472, 0.747655, 0.786142, 0.880099, 1.09157, 1.52414, 2.38656" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.708124, 0.719187, 0.758104, 0.852301, 1.06411, 1.49565, 2.35699" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.347584, 0.3554, 0.382042, 0.451213, 0.616779, 0.98108, 1.72847" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.512743, 0.522641, 0.555096, 0.638873, 0.823939, 1.21576, 2.01109" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493432, 0.500774, 0.526649, 0.596298, 0.761356, 1.12683, 1.8743" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373626, 0.383086, 0.416013, 0.499634, 0.684739, 1.07571, 1.87033" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737914, 0.749547, 0.787148, 0.881569, 1.09043, 1.52586, 2.38584" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.743947, 0.755824, 0.793792, 0.888649, 1.09769, 1.53198, 2.39092" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.347229, 0.354853, 0.382128, 0.451338, 0.617532, 0.980924, 1.7285" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.51274, 0.522503, 0.55508, 0.637483, 0.823955, 1.21572, 2.01096" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493085, 0.500545, 0.52679, 0.596433, 0.763304, 1.12648, 1.87409" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.374219, 0.383987, 0.416674, 0.499506, 0.685364, 1.07635, 1.87093" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.722531, 0.734194, 0.772012, 0.86688, 1.07943, 1.51717, 2.38175" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.604152, 0.616049, 0.654541, 0.749487, 0.961308, 1.39893, 2.2633" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.340693, 0.348073, 0.375636, 0.444908, 0.611062, 0.974468, 1.72206" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516883, 0.526573, 0.559332, 0.643211, 0.82827, 1.22019, 2.01561" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.490818, 0.498281, 0.524437, 0.593571, 0.761054, 1.12419, 1.87171" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372174, 0.38148, 0.414732, 0.498563, 0.68368, 1.07498, 1.87008" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.32551, 0.332698, 0.361426, 0.429675, 0.597394, 0.960528, 1.70754" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.536382, 0.545917, 0.579232, 0.663432, 0.845999, 1.23645, 2.02778" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49201, 0.499659, 0.527799, 0.595233, 0.76432, 1.12691, 1.87398" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.359583, 0.369242, 0.402614, 0.486657, 0.669995, 1.05982, 1.85148" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.786682, 0.796597, 0.82771, 0.910478, 1.0959, 1.48332, 2.26723" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.607128, 0.617004, 0.648839, 0.731845, 0.917077, 1.30401, 2.08826" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.330459, 0.337926, 0.366606, 0.43472, 0.602365, 0.965941, 1.71325" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.546652, 0.55606, 0.588579, 0.671921, 0.857174, 1.24705, 2.03896" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.499218, 0.506869, 0.535069, 0.602611, 0.770713, 1.1345, 1.88184" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355382, 0.364871, 0.397923, 0.480897, 0.665808, 1.05568, 1.8483" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.840703, 0.850564, 0.881545, 0.964285, 1.15098, 1.53811, 2.32428" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.607695, 0.617165, 0.649103, 0.73213, 0.918211, 1.30514, 2.0915" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.646753; + rise_capacitance : 0.640416; + rise_capacitance_range (0.536244, 0.640416); + fall_capacitance : 0.646753; + fall_capacitance_range (0.577407, 0.646753); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.72388, -3.90125, -4.25274, -3.61816, -6.27008, -8.7157, -12.7698", \ + "-4.01067, -4.18805, -4.53953, -5.22942, -6.55687, -9.00249, -13.0566", \ + "-4.57072, -4.7481, -5.09958, -5.78947, -3.11942, -5.56504, -13.6166", \ + "-3.63672, -5.8141, -6.16558, -5.46875, -4.18542, -6.63104, -13.5449", \ + "-7.55228, -7.72965, -8.08114, -4.77353, -6.10098, -8.5466, -12.6007", \ + "-10.5176, -10.695, -7.049, -7.73889, -9.06634, -11.512, -19.5635", \ + "-12.9854, -13.1627, -9.51672, -12.9688, -11.5341, -17.9772, -26.0287" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.36859, 4.92937, 6.00267, 5.30273, 8.00298, 8.09645, 8.28339", \ + "4.43785, 4.99863, 6.07192, 8.0255, 8.07223, 8.16571, 8.35265", \ + "4.58889, 5.14967, 6.22297, 8.17654, 8.22328, 8.31675, 8.50369", \ + "2.30713, 5.50188, 6.57518, 5.9375, 8.57549, 8.66896, 5.98633", \ + "5.84602, 6.40679, 7.48009, 9.43366, 9.4804, 9.57387, 9.76082", \ + "8.45782, 9.0186, 10.0919, 12.0455, 12.0922, 12.1857, 12.3726", \ + "16.8893, 17.4501, 18.5234, 17.7344, 20.5237, 16.6197, 16.8066" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.25637, -4.35043, -4.54253, -3.61816, -5.80641, -7.78836, -12.7698", \ + "-4.54316, -4.63722, -4.82932, -5.22942, -6.0932, -8.07515, -13.0566", \ + "-5.10321, -5.19728, -5.38938, -1.79197, -6.65326, -8.6352, -13.6166", \ + "-4.70171, -6.26327, -6.45537, -5.46875, -3.72175, -5.7037, -13.5449", \ + "-8.08477, -8.17883, -8.37093, -4.77353, -5.63731, -11.6168, -16.5982", \ + "-11.0501, -11.1442, -7.33879, -7.73889, -8.60267, -14.5821, -19.5635", \ + "-13.5179, -13.6119, -13.804, -12.9688, -15.0679, -17.0498, -26.0287" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.2768, 5.77119, 6.7202, 5.78613, 8.75592, 9.34942, 10.5364", \ + "5.3137, 5.80809, 6.7571, 8.49607, 8.79282, 9.38632, 6.57582", \ + "1.4049, 5.89679, 6.8458, 8.58477, 8.88152, 9.47502, 6.66451", \ + "2.98584, 6.13374, 7.08275, 6.21094, 9.11847, 9.71197, 8.64667", \ + "6.35147, 6.84586, 7.79488, 9.53384, 9.83059, 10.4241, 7.61359", \ + "8.72864, 9.22303, 10.172, 11.911, 12.2078, 12.8013, 9.99075", \ + "17.2946, 17.789, 18.738, 17.7344, 16.7762, 17.3697, 14.5592" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.72388, -3.90125, -4.25274, -3.61816, -5.80641, -7.78836, -12.7698", \ + "-4.01067, -4.18805, -4.53953, -5.22942, -6.0932, -8.07515, -13.0566", \ + "-4.57072, -4.7481, -5.09958, -1.79197, -3.11942, -5.56504, -13.6166", \ + "-3.63672, -5.8141, -6.16558, -5.46875, -3.72175, -5.7037, -13.5449", \ + "-7.55228, -7.72965, -8.08114, -4.77353, -5.63731, -8.5466, -12.6007", \ + "-10.5176, -10.695, -7.049, -7.73889, -8.60267, -11.512, -19.5635", \ + "-12.9854, -13.1627, -9.51672, -12.9688, -11.5341, -17.0498, -26.0287" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.2768, 5.77119, 6.7202, 5.78613, 8.75592, 9.34942, 10.5364", \ + "5.3137, 5.80809, 6.7571, 8.49607, 8.79282, 9.38632, 8.35265", \ + "4.58889, 5.89679, 6.8458, 8.58477, 8.88152, 9.47502, 8.50369", \ + "2.98584, 6.13374, 7.08275, 6.21094, 9.11847, 9.71197, 8.64667", \ + "6.35147, 6.84586, 7.79488, 9.53384, 9.83059, 10.4241, 9.76082", \ + "8.72864, 9.22303, 10.172, 12.0455, 12.2078, 12.8013, 12.3726", \ + "17.2946, 17.789, 18.738, 17.7344, 20.5237, 17.3697, 16.8066" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 13.553, 15.2118, 18.5293", \ + "16.8124, 12.2945, 11.3079, 13.5494, 10.3813, 16.0376, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4091, 16.8912, 15.9047, 18.1462, 14.9781, 16.6368, 19.9544", \ + "26.5839, 22.066, 21.0795, 19.3235, 20.1529, 21.8116, 25.1291", \ + "33.6618, 33.1414, 32.1549, 27.5195, 27.2308, 28.8895, 32.207" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.1706, 9.468, 8.1223, 6.76697, 5.51286, 5.20159, 8.57654", \ + "10.3443, 9.64172, 8.29601, 5.84221, 5.68657, 5.3753, 8.75026", \ + "10.6964, 9.99388, 8.64818, 6.19437, 6.03873, 5.72746, 9.10242", \ + "12.4707, 10.7171, 9.37142, 8.83524, 6.76198, 6.45071, 6.83755", \ + "16.9394, 16.2368, 14.8911, 12.4373, 8.28417, 7.9729, 7.35036", \ + "20.2865, 19.584, 18.2383, 15.7845, 11.6313, 11.3201, 10.6975", \ + "28.1919, 27.4894, 26.1437, 20.8106, 19.5368, 15.228, 10.6079" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9853, 11.4649, 10.4784, 12.7199, 13.5492, 15.208, 18.5255", \ + "12.8073, 12.2869, 11.3003, 13.5418, 10.3737, 12.0325, 19.3475", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4852, 20.9648, 15.9808, 18.2223, 15.0541, 16.7129, 24.0279", \ + "27.0555, 26.5351, 21.5511, 19.7951, 20.6245, 22.2832, 25.6007", \ + "35.8981, 31.3802, 30.3937, 29.7559, 29.4671, 27.1283, 34.4434" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.59296, 5.15526, 4.31348, 3.83545, 2.81623, 2.87984, 7.00457", \ + "10.008, 5.57031, 4.72854, 3.19948, 3.23129, 3.2949, 7.41963", \ + "10.8205, 10.3803, 5.54102, 4.01196, 4.04377, 4.10738, 8.23211", \ + "9.44043, 11.9347, 7.09546, 6.67969, 5.59821, 1.66433, 7.78906", \ + "15.2018, 14.7616, 13.9198, 8.39322, 8.42503, 4.49114, 8.61587", \ + "19.7271, 15.2894, 14.4476, 12.9186, 12.9504, 9.01648, 9.14371", \ + "24.2646, 23.8244, 22.9826, 18.5742, 17.4879, 13.554, 9.68371" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.5698, 11.0494, 10.0629, 9.39697, 13.1338, 14.7925, 18.11", \ + "11.9891, 11.4687, 10.4822, 12.7237, 13.553, 15.2118, 18.5293", \ + "16.8124, 12.2945, 11.3079, 13.5494, 10.3813, 16.0376, 19.3551", \ + "15.4858, 13.8949, 12.9083, 12.3047, 11.9817, 13.6405, 18.0957", \ + "21.4852, 20.9648, 15.9808, 18.2223, 15.0541, 16.7129, 24.0279", \ + "27.0555, 26.5351, 21.5511, 19.7951, 20.6245, 22.2832, 25.6007", \ + "35.8981, 33.1414, 32.1549, 29.7559, 29.4671, 28.8895, 34.4434" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.1706, 9.468, 8.1223, 6.76697, 5.51286, 5.20159, 8.57654", \ + "10.3443, 9.64172, 8.29601, 5.84221, 5.68657, 5.3753, 8.75026", \ + "10.8205, 10.3803, 8.64818, 6.19437, 6.03873, 5.72746, 9.10242", \ + "12.4707, 11.9347, 9.37142, 8.83524, 6.76198, 6.45071, 7.78906", \ + "16.9394, 16.2368, 14.8911, 12.4373, 8.42503, 7.9729, 8.61587", \ + "20.2865, 19.584, 18.2383, 15.7845, 12.9504, 11.3201, 10.6975", \ + "28.1919, 27.4894, 26.1437, 20.8106, 19.5368, 15.228, 10.6079" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0616284, -0.0616471, -0.0618456, -0.0623924, -0.0618482, -0.0621023, -0.0617184" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0714168, 0.0719454, 0.0719011, 0.0717356, 0.0720671, 0.0719836, 0.0718229" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0983802, 0.0987029, 0.0987943, 0.0998148, 0.0992545, 0.0993303, 0.0990907" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0893029, -0.0902523, -0.0896472, -0.0895811, -0.0905079, -0.0897273, -0.0893136" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0618292, -0.061937, -0.0620324, -0.0622046, -0.0615971, -0.061791, -0.0614775" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0719997, 0.072204, 0.0722379, 0.0722242, 0.0726509, 0.0724873, 0.0722288" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0568425, 0.0570328, 0.0567981, 0.0569803, 0.0566889, 0.0566596, 0.0563105" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0568425, -0.0570328, -0.0567981, -0.0569803, -0.0566889, -0.0566596, -0.0563105" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0493979, -0.0528936, -0.056597, -0.0581578, -0.0602405, -0.0603117, -0.0606086" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0789429, 0.0791383, 0.0797727, 0.0791228, 0.0795128, 0.0789157, 0.0789498" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103709, 0.1014, 0.10045, 0.0991491, 0.099735, 0.098268, 0.0979319" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0819159, -0.0829874, -0.0843451, -0.084225, -0.0851894, -0.0845485, -0.0840613" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.047041, -0.0511671, -0.0549398, -0.0573126, -0.0587968, -0.0599564, -0.0600756" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0796689, 0.0793604, 0.0802574, 0.0801295, 0.0803702, 0.0796617, 0.0796527" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104001, 0.101533, 0.1006, 0.0989637, 0.099112, 0.0982985, 0.0977788" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0843946, -0.0845235, -0.0857394, -0.0857475, -0.0861493, -0.0852424, -0.0848273" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.060625, -0.0606575, -0.0613827, -0.0611119, -0.0610635, -0.0610476, -0.0606674" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0726344, 0.0732816, 0.0735176, 0.073056, 0.0734729, 0.0731376, 0.0729308" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0997487, 0.100111, 0.100585, 0.100217, 0.100935, 0.100371, 0.100175" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.088045, -0.0887289, -0.0892991, -0.0884544, -0.0894027, -0.0884967, -0.0881729" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0612873, -0.0619681, -0.0614892, -0.0623639, -0.0624284, -0.06182, -0.0618712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0836757, 0.0841154, 0.0837022, 0.0847294, 0.0844009, 0.0839445, 0.0833282" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0552068, 0.0554799, 0.0548177, 0.0555552, 0.0554867, 0.0548807, 0.054511" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0374838, -0.0377789, -0.0373594, -0.0379028, -0.0375993, -0.037326, -0.0371034" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.216053, 0.216775, 0.232948, 0.294932, 0.462313, 0.846885, 1.65025" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.585155, 0.580796, 0.58979, 0.644823, 0.812209, 1.21099, 2.03965" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580282, 0.580691, 0.59638, 0.658926, 0.825957, 1.21092, 2.01049" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.216157, 0.212585, 0.221833, 0.275603, 0.443776, 0.841253, 1.67143" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219228, 0.221444, 0.240232, 0.305265, 0.4769, 0.862184, 1.67006" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.557147, 0.555564, 0.566602, 0.626993, 0.797551, 1.20002, 2.03605" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564616, 0.567018, 0.584548, 0.649863, 0.821876, 1.20665, 2.01095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.207043, 0.204733, 0.216852, 0.275977, 0.446638, 0.849648, 1.68549" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.24452; + rise_capacitance : 1.23903; + rise_capacitance_range (1.04626, 1.23903); + fall_capacitance : 1.24452; + fall_capacitance_range (1.02126, 1.24452); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.17584, -0.654176, 0.343388, -0.478515, 2.11849, 2.04455, 1.89668", \ + "-5.49683, -0.977671, 0.0198931, -2.16553, 1.795, 1.72106, 1.57318", \ + "-6.11522, -5.59355, -4.59599, -2.78392, 1.17661, 1.10268, 0.954799", \ + "-5.87402, -6.71589, -5.71832, -2.5, 0.0542811, -0.0196571, -3.0371", \ + "-7.1936, -6.67194, -5.67438, -3.86231, -3.89927, -3.97321, -4.12109", \ + "-7.10572, -6.58405, -5.58649, -3.77442, -3.81139, -3.88532, -4.0332", \ + "-6.92994, -2.41077, -1.41321, -2.34375, 0.361893, 0.287955, 0.140079" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.6365, -11.8913, -8.39387, -12.0093, -11.1265, -14.0559, -17.4661", \ + "-12.3157, -12.5705, -13.0706, -10.035, -11.8058, -14.7352, -18.1454", \ + "-13.6515, -13.9063, -14.4064, -11.3708, -13.1416, -16.071, -19.4812", \ + "-14.2324, -16.4872, -16.9873, -16.5625, -15.7225, -18.6519, -24.9219", \ + "-21.0314, -21.2862, -21.7863, -22.7482, -20.5214, -23.4508, -26.861", \ + "-29.1778, -29.4326, -29.9327, -26.8971, -28.6679, -31.5973, -35.0075", \ + "-39.665, -39.9199, -40.4199, -40.1465, -39.1551, -42.0845, -49.4922" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.62939, -2.79923, -3.13351, -2.49512, -0.990798, -3.06144, -9.82055", \ + "-2.58819, -2.75803, 0.905194, 0.258188, -0.949593, -3.02024, -9.77935", \ + "-2.48403, -2.65387, 1.00935, 0.362346, -0.845435, -2.91608, -9.67519", \ + "-0.903321, -2.35856, 1.30466, -1.99219, -0.550125, -2.62077, -8.26171", \ + "-1.25013, 2.57754, 2.24326, 1.59625, 0.388471, -1.68217, -8.44128", \ + "6.01647, 5.84663, 5.51235, 4.86534, 3.65756, 1.58692, -5.17219", \ + "14.1248, 13.9549, 13.6206, 14.209, 11.7658, 9.6952, -1.06141" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.97113, 7.54623, 8.64605, 7.99072, 10.6114, 10.5459, 10.4147", \ + "7.07189, 7.64698, 8.7468, 6.74749, 10.7122, 10.6466, 10.5155", \ + "7.27222, 7.84731, 8.94713, 6.94782, 10.9125, 10.847, 10.7158", \ + "5.03418, 8.24325, 9.34307, 8.75, 11.3085, 11.2429, 8.24219", \ + "8.4411, 9.01619, 10.116, 12.1142, 12.0814, 12.0158, 11.8847", \ + "9.91131, 10.4864, 11.5862, 13.5844, 13.5516, 13.4861, 13.3549", \ + "12.549, 13.1241, 14.2239, 13.7344, 16.1893, 16.1238, 11.9951" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.17584, -0.654176, 0.343388, -0.478515, 2.11849, 2.04455, 1.89668", \ + "-2.58819, -0.977671, 0.905194, 0.258188, 1.795, 1.72106, 1.57318", \ + "-2.48403, -2.65387, 1.00935, 0.362346, 1.17661, 1.10268, 0.954799", \ + "-0.903321, -2.35856, 1.30466, -1.99219, 0.0542811, -0.0196571, -3.0371", \ + "-1.25013, 2.57754, 2.24326, 1.59625, 0.388471, -1.68217, -4.12109", \ + "6.01647, 5.84663, 5.51235, 4.86534, 3.65756, 1.58692, -4.0332", \ + "14.1248, 13.9549, 13.6206, 14.209, 11.7658, 9.6952, 0.140079" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.97113, 7.54623, 8.64605, 7.99072, 10.6114, 10.5459, 10.4147", \ + "7.07189, 7.64698, 8.7468, 6.74749, 10.7122, 10.6466, 10.5155", \ + "7.27222, 7.84731, 8.94713, 6.94782, 10.9125, 10.847, 10.7158", \ + "5.03418, 8.24325, 9.34307, 8.75, 11.3085, 11.2429, 8.24219", \ + "8.4411, 9.01619, 10.116, 12.1142, 12.0814, 12.0158, 11.8847", \ + "9.91131, 10.4864, 11.5862, 13.5844, 13.5516, 13.4861, 13.3549", \ + "12.549, 13.1241, 14.2239, 13.7344, 16.1893, 16.1238, 11.9951" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.1479, 16.7337, 15.9391, 11.5771, 10.2742, 9.84839, 12.9943", \ + "17.8597, 17.4455, 16.6509, 15.1964, 10.986, 10.5602, 13.7061", \ + "19.2252, 18.811, 18.0163, 16.5618, 12.3514, 11.9256, 15.0716", \ + "18.7769, 21.3092, 16.517, 16.0625, 14.8496, 14.4238, 14.8281", \ + "22.0653, 21.6511, 20.8564, 19.4019, 15.1915, 14.7658, 17.9117", \ + "22.7491, 22.335, 21.5403, 20.0858, 15.8754, 15.4496, 18.5956", \ + "24.1169, 23.7027, 22.9081, 18.5742, 17.2432, 16.8174, 15.9658" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.0537, 19.6615, 18.92, 18.7183, 18.4171, 20.034, 27.2653", \ + "20.806, 20.4138, 19.6723, 18.3609, 19.1693, 20.7863, 28.0176", \ + "22.2821, 21.8899, 21.1484, 19.837, 20.6455, 22.2624, 29.4937", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "30.3444, 29.9522, 29.2107, 27.8993, 28.7077, 30.3247, 37.556", \ + "38.9754, 38.5832, 37.8417, 36.5303, 37.3388, 38.9557, 42.1895", \ + "52.9709, 52.5787, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.76807, 9.31908, 8.47302, 8.03955, 8.17226, 10.5397, 15.2747", \ + "9.54816, 9.09917, 8.25311, 6.76862, 7.95235, 10.3198, 15.0547", \ + "9.12509, 8.6761, 7.83004, 6.34555, 7.52928, 9.89674, 14.6317", \ + "9.37744, 7.89696, 7.0509, 6.67969, 6.75014, 9.1176, 14.9707", \ + "11.0532, 10.6042, 9.75811, 8.27361, 9.45735, 7.82731, 16.5597", \ + "11.6885, 11.2395, 10.3934, 8.90891, 6.09515, 8.46261, 13.1975", \ + "10.8151, 6.36865, 5.52258, 5.15626, 5.22182, 3.59179, 8.32672" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.61762, 7.25297, 6.55724, 2.37305, 1.4723, 1.81193, 10.4862", \ + "8.45705, 8.09241, 7.39668, 6.13942, 2.31174, 2.65136, 11.3256", \ + "10.1104, 9.74577, 9.05004, 3.79529, 3.9651, 0.307229, 8.98148", \ + "10.3687, 12.9505, 8.25725, 9, 7.16981, 3.51194, 10.1887", \ + "19.3165, 14.9543, 14.2586, 13.0013, 9.17365, 9.51328, 10.1925", \ + "25.6893, 25.3247, 24.6289, 23.3717, 19.544, 15.8861, 16.5654", \ + "43.8981, 43.5335, 42.8378, 38.7012, 37.7528, 30.0975, 22.7817" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.1479, 16.7337, 15.9391, 11.5771, 10.2742, 10.5397, 15.2747", \ + "17.8597, 17.4455, 16.6509, 15.1964, 10.986, 10.5602, 15.0547", \ + "19.2252, 18.811, 18.0163, 16.5618, 12.3514, 11.9256, 15.0716", \ + "18.7769, 21.3092, 16.517, 16.0625, 14.8496, 14.4238, 14.9707", \ + "22.0653, 21.6511, 20.8564, 19.4019, 15.1915, 14.7658, 17.9117", \ + "22.7491, 22.335, 21.5403, 20.0858, 15.8754, 15.4496, 18.5956", \ + "24.1169, 23.7027, 22.9081, 18.5742, 17.2432, 16.8174, 15.9658" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.0537, 19.6615, 18.92, 18.7183, 18.4171, 20.034, 27.2653", \ + "20.806, 20.4138, 19.6723, 18.3609, 19.1693, 20.7863, 28.0176", \ + "22.2821, 21.8899, 21.1484, 19.837, 20.6455, 22.2624, 29.4937", \ + "26.1914, 24.7287, 23.9872, 23.8281, 23.4842, 25.1011, 29.4727", \ + "30.3444, 29.9522, 29.2107, 27.8993, 28.7077, 30.3247, 37.556", \ + "38.9754, 38.5832, 37.8417, 36.5303, 37.3388, 38.9557, 42.1895", \ + "52.9709, 52.5787, 47.8397, 47.6465, 47.3368, 48.9537, 56.185" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0125544, 0.00889768, 0.0111028, 0.0326556, 0.0990198, 0.253362, 0.581946" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.319673, 0.3189, 0.322704, 0.34717, 0.419454, 0.582672, 0.929219" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.338028, 0.335439, 0.337209, 0.358232, 0.42593, 0.580719, 0.908106" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0280475, -0.0278121, -0.0205372, 0.0107696, 0.0869254, 0.254841, 0.604175" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0248172, -0.0250236, -0.0173525, 0.0099328, 0.0775017, 0.232725, 0.559639" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.308276, 0.309937, 0.321347, 0.354729, 0.433872, 0.601814, 0.95159" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.315673, 0.317262, 0.325494, 0.351563, 0.420887, 0.575086, 0.901283" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0311557, -0.0300574, -0.0200817, 0.0142951, 0.0917191, 0.260208, 0.610335" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.131164, 0.130174, 0.138867, 0.168604, 0.272257, 0.554195, 1.20062" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.510687, 0.521986, 0.556606, 0.644695, 0.828071, 1.2029, 1.93346" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.379048, 0.378534, 0.385624, 0.415768, 0.519849, 0.802543, 1.44718" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.25719, 0.267413, 0.3028, 0.391601, 0.574122, 0.947462, 1.67646" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.544728, 0.543848, 0.553796, 0.583084, 0.665986, 0.859057, 1.27473" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.584647, 0.583441, 0.590062, 0.624485, 0.719979, 0.939623, 1.39405" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.651674, 0.651795, 0.661043, 0.689723, 0.772632, 0.967072, 1.38216" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.471981, 0.471796, 0.477635, 0.513148, 0.608213, 0.827101, 1.28261" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.255848, 0.258505, 0.284775, 0.376031, 0.611998, 1.14983, 2.28271" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.698966, 0.702051, 0.732323, 0.84284, 1.1246, 1.74446, 3.01641" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.712352, 0.716173, 0.741613, 0.832203, 1.07002, 1.60627, 2.73753" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.241798, 0.244738, 0.275748, 0.385716, 0.667562, 1.28658, 2.56012" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0179629, -0.0172836, -0.00924622, 0.0175132, 0.0852369, 0.239345, 0.567269" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.306795, 0.307677, 0.319162, 0.35222, 0.42959, 0.598788, 0.948228" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.325584, 0.325758, 0.333272, 0.359611, 0.429049, 0.582279, 0.909998" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0346173, -0.0324751, -0.0226529, 0.0112551, 0.0886658, 0.257601, 0.607263" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.688225; + rise_capacitance : 0.682296; + rise_capacitance_range (0.559125, 0.682296); + fall_capacitance : 0.688225; + fall_capacitance_range (0.582734, 0.688225); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.60797, -1.30645, -0.744217, -2.49512, -5.12329, -3.81133, -9.18243", \ + "-1.6139, -1.31238, -0.750151, -3.78645, -5.12922, -3.81727, -9.18836", \ + "-1.61163, -1.31011, -0.74788, -3.78418, -5.12695, -3.815, -9.18609", \ + "-4.30908, -1.31499, -4.75026, -2.42187, -5.13183, -3.81988, -12.0605", \ + "-5.52951, -5.22799, -4.66576, -3.70456, -5.04733, -7.73288, -13.104", \ + "-5.05885, -4.75733, -4.1951, -3.2339, -4.57667, -7.26222, -12.6333", \ + "1.08661, 1.38813, -2.04714, 0.914058, -2.42871, -5.11426, -10.4854" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.6973, 5.20665, 6.18156, 5.30273, 8.00298, 8.09645, 8.28339", \ + "4.79279, 5.30213, 6.27704, 8.05172, 8.09846, 8.19193, 8.37888", \ + "4.97006, 5.4794, 6.45431, 8.229, 8.27573, 8.3692, 8.55615", \ + "2.96455, 5.77916, 6.75407, 5.9375, 8.57549, 8.66896, 5.98633", \ + "4.77356, 5.28291, 6.25782, 8.0325, 8.07924, 8.17271, 8.35966", \ + "3.78107, 4.29041, 5.26532, 7.04001, 7.08674, 7.18021, 3.36966", \ + "1.79607, 2.30542, 3.28033, 2.19127, 5.10175, 5.19522, 1.38467" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.2027, -0.966493, -0.52748, -2.49512, -0.965354, -3.33003, -8.05938", \ + "-1.12788, -0.891673, -0.45266, 0.291803, -0.890534, -3.25521, -7.98456", \ + "-0.990102, -0.7539, -0.314887, 0.429576, -0.752761, -3.11744, -7.84679", \ + "-3.47412, -0.52582, -0.0868067, -1.99219, -4.52218, -2.88936, -10.498", \ + "-5.25269, -5.01648, -4.57747, -3.83301, -5.01535, -7.38002, -12.1094", \ + "-6.23902, -6.00281, -5.5638, -4.81934, -6.00168, -8.36635, -13.0957", \ + "-4.21418, -3.97797, -3.53896, -5.55665, -7.97433, -10.339, -19.0659" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.84277, 4.35251, 5.32908, 8.43506, 11.2385, 11.4994, 12.0212", \ + "3.90514, 4.41488, 5.39145, 7.17297, 11.3009, 11.5618, 12.0836", \ + "4.03709, 4.54683, 5.5234, 7.30491, 11.4329, 11.6937, 8.21801", \ + "5.67383, 4.83957, 5.81614, 8.98438, 11.7256, 11.9865, 9.62891", \ + "5.0307, 9.53794, 10.5145, 12.296, 12.4265, 12.6873, 9.21161", \ + "6.89395, 11.4012, 12.3778, 14.1593, 14.2897, 14.5506, 11.0749", \ + "12.4665, 12.9763, 13.9529, 17.7344, 15.8648, 16.1257, 12.65" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.2027, -0.966493, -0.52748, -2.49512, -0.965354, -3.33003, -8.05938", \ + "-1.12788, -0.891673, -0.45266, 0.291803, -0.890534, -3.25521, -7.98456", \ + "-0.990102, -0.7539, -0.314887, 0.429576, -0.752761, -3.11744, -7.84679", \ + "-3.47412, -0.52582, -0.0868067, -1.99219, -4.52218, -2.88936, -10.498", \ + "-5.25269, -5.01648, -4.57747, -3.70456, -5.01535, -7.38002, -12.1094", \ + "-5.05885, -4.75733, -4.1951, -3.2339, -4.57667, -7.26222, -12.6333", \ + "1.08661, 1.38813, -2.04714, 0.914058, -2.42871, -5.11426, -10.4854" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.6973, 5.20665, 6.18156, 8.43506, 11.2385, 11.4994, 12.0212", \ + "4.79279, 5.30213, 6.27704, 8.05172, 11.3009, 11.5618, 12.0836", \ + "4.97006, 5.4794, 6.45431, 8.229, 11.4329, 11.6937, 8.55615", \ + "5.67383, 5.77916, 6.75407, 8.98438, 11.7256, 11.9865, 9.62891", \ + "5.0307, 9.53794, 10.5145, 12.296, 12.4265, 12.6873, 9.21161", \ + "6.89395, 11.4012, 12.3778, 14.1593, 14.2897, 14.5506, 11.0749", \ + "12.4665, 12.9763, 13.9529, 17.7344, 15.8648, 16.1257, 12.65" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.0098, 9.52507, 8.60877, 8.03955, 7.99508, 10.0082, 18.0319", \ + "10.2226, 9.73787, 8.82157, 11.1988, 8.20788, 10.221, 18.2447", \ + "14.6343, 10.1521, 9.23579, 11.613, 8.6221, 10.6352, 14.6614", \ + "12.4707, 14.9325, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 15.3998, 13.7796, 10.7886, 12.8017, 16.828", \ + "18.8394, 18.3547, 17.4384, 15.8182, 12.8272, 14.8404, 18.8666", \ + "20.0021, 19.5175, 18.6012, 14.1016, 13.99, 16.0031, 20.0293" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.80636, 8.1174, 6.79512, 6.37305, 3.97885, 3.19046, 9.60869", \ + "9.49141, 8.80245, 7.48017, 5.0581, 4.66391, 3.87552, 6.29624", \ + "10.8428, 10.1538, 8.83156, 6.40949, 6.01529, 5.22691, 7.64763", \ + "14.4707, 12.7817, 11.4595, 10.3832, 8.6432, 7.85481, 7.3774", \ + "18.4271, 17.7381, 16.4158, 13.9937, 13.5995, 8.81366, 11.2344", \ + "27.1419, 26.4529, 25.1306, 22.7086, 22.3144, 17.5285, 15.9517", \ + "43.7775, 43.0885, 41.7663, 36.4649, 34.9525, 30.1666, 28.5898" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.205, 9.78535, 8.99204, 9.24048, 8.45464, 10.1859, 13.6486", \ + "10.2204, 9.80083, 9.00752, 7.60447, 8.47012, 10.2014, 13.664", \ + "10.2651, 9.84545, 9.05214, 7.64909, 8.51474, 10.246, 13.7087", \ + "11.4404, 13.9868, 9.19601, 8.90625, 8.65862, 10.3899, 14.9707", \ + "14.9127, 14.4931, 13.6998, 12.2968, 13.1624, 14.8937, 18.3563", \ + "20.797, 16.3799, 15.5865, 14.1835, 15.0491, 16.7804, 20.2431", \ + "24.0695, 23.6499, 22.8566, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.81006, 5.02755, 3.53439, 1.9375, 1.18534, -2.11239, 7.28216", \ + "6.16628, 5.38378, 3.89061, 1.19167, 1.54156, 2.24134, 7.63839", \ + "6.88028, 6.09777, 4.60461, 5.90317, 2.25555, 2.95533, 8.35238", \ + "9.31445, 11.5294, 10.0363, 4.45312, 3.68973, 4.38951, 7.78906", \ + "15.2051, 14.4226, 12.9294, 10.2305, 6.58284, 7.28261, 8.68216", \ + "21.0903, 20.3078, 18.8146, 16.1157, 12.4681, 9.17034, 10.5699", \ + "29.2593, 28.4768, 26.9836, 25.5225, 24.6346, 17.3393, 14.7414" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.205, 9.78535, 8.99204, 9.24048, 8.45464, 10.1859, 18.0319", \ + "10.2226, 9.80083, 9.00752, 11.1988, 8.47012, 10.221, 18.2447", \ + "14.6343, 10.1521, 9.23579, 11.613, 8.6221, 10.6352, 14.6614", \ + "12.4707, 14.9325, 10.0187, 9.53125, 9.40499, 11.4181, 16.5723", \ + "16.8008, 16.3161, 15.3998, 13.7796, 13.1624, 14.8937, 18.3563", \ + "20.797, 18.3547, 17.4384, 15.8182, 15.0491, 16.7804, 20.2431", \ + "24.0695, 23.6499, 22.8566, 18.5742, 18.3217, 20.053, 23.5156" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.80636, 8.1174, 6.79512, 6.37305, 3.97885, 3.19046, 9.60869", \ + "9.49141, 8.80245, 7.48017, 5.0581, 4.66391, 3.87552, 7.63839", \ + "10.8428, 10.1538, 8.83156, 6.40949, 6.01529, 5.22691, 8.35238", \ + "14.4707, 12.7817, 11.4595, 10.3832, 8.6432, 7.85481, 7.78906", \ + "18.4271, 17.7381, 16.4158, 13.9937, 13.5995, 8.81366, 11.2344", \ + "27.1419, 26.4529, 25.1306, 22.7086, 22.3144, 17.5285, 15.9517", \ + "43.7775, 43.0885, 41.7663, 36.4649, 34.9525, 30.1666, 28.5898" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0629103, -0.0669232, -0.0708812, -0.0727329, -0.0738425, -0.0737113, -0.0735865" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0883606, 0.0888648, 0.0895055, 0.0890441, 0.0898189, 0.0896728, 0.0893368" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106044, 0.101793, 0.100234, 0.0989447, 0.0980832, 0.0967077, 0.0960085" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0797553, -0.0811371, -0.0822885, -0.0820685, -0.082559, -0.0819939, -0.0815733" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00976309, -0.00988034, -0.00989792, -0.00983691, -0.00985726, -0.00976921, -0.00951513" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0187287, 0.0187657, 0.0188386, 0.0188607, 0.0188841, 0.0188591, 0.0188179" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107785, 0.108456, 0.108551, 0.108219, 0.108602, 0.108185, 0.107405" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0964964, -0.0974169, -0.0982435, -0.0978579, -0.0980498, -0.0971511, -0.096539" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0641267, -0.0683075, -0.0704344, -0.0727743, -0.0726651, -0.0734525, -0.0733562" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0883771, 0.0887873, 0.0885505, 0.0891008, 0.0898699, 0.0895303, 0.0893574" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103473, 0.101146, 0.098741, 0.098904, 0.0966931, 0.0968474, 0.0959951" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0817395, -0.0827021, -0.0825148, -0.082778, -0.0831881, -0.0825131, -0.0822228" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0756887, -0.0768585, -0.0770802, -0.0768224, -0.0764997, -0.0766462, -0.0765165" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0860102, 0.0864324, 0.0869541, 0.0868211, 0.086936, 0.0873092, 0.0871415" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0462275, 0.0463587, 0.0460743, 0.0462344, 0.0458079, 0.0456532, 0.0454024" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0462275, -0.0463587, -0.0460743, -0.0462344, -0.0458079, -0.0456532, -0.0454024" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.199072, 0.200396, 0.216704, 0.273139, 0.419829, 0.746804, 1.42478" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.495713, 0.49392, 0.506349, 0.563093, 0.722851, 1.07476, 1.79531" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473533, 0.475699, 0.491354, 0.547689, 0.695329, 1.02221, 1.69836" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219406, 0.217522, 0.23078, 0.28727, 0.446765, 0.798415, 1.51985" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00335498, 0.00325956, 0.00308173, 0.0029582, 0.0030251, 0.00289479, 0.00255201" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0117739, 0.0121069, 0.0119985, 0.0119045, 0.0118574, 0.011829, 0.0117158" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.1281, 0.128221, 0.12893, 0.129306, 0.128349, 0.127383, 0.124496" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100697, -0.101835, -0.102268, -0.102534, -0.102401, -0.101456, -0.100742" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.194429, 0.198611, 0.219973, 0.287139, 0.453287, 0.813955, 1.55636" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.433398, 0.43388, 0.452121, 0.520316, 0.694734, 1.07856, 1.85556" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.425426, 0.429801, 0.450636, 0.518199, 0.684571, 1.04546, 1.78628" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.20102, 0.201571, 0.219913, 0.287777, 0.462668, 0.845471, 1.62353" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0740549, -0.0746641, -0.0756779, -0.0760242, -0.0762089, -0.0753101, -0.0754662" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0962306, 0.0963852, 0.0966806, 0.0976311, 0.0975854, 0.0972393, 0.096747" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0418715, 0.0418662, 0.0419842, 0.0419071, 0.0417409, 0.0413472, 0.041073" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0277643, -0.0283784, -0.0280762, -0.0285399, -0.0281209, -0.0278172, -0.027538" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFHx4_ASAP7_75t_SL) { + area : 0.45198; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 32315.2; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39150.8; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34100.5; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37227.9; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 33241.9; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40077.7; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 33238.8; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40074.9; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32312.5; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39148; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34098.1; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36960.7; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 35027.4; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 38154.7; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 35025; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37887.6; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47130.8; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36934; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 42188; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 45149.8; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47931.1; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37734.3; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47982.4; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37785.6; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47129.2; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36932.4; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39828.9; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 42790.8; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 43114.1; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 46076; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40755.7; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 43717.5; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39413.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : rising_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "25.3993, 27.6905, 31.6645, 38.6016, 51.3599, 76.0036, 125.038", \ + "26.2489, 28.5413, 32.5138, 39.4524, 52.2097, 76.8524, 125.889", \ + "27.5682, 29.8573, 33.8316, 40.7694, 53.5282, 78.1743, 127.21", \ + "29.3036, 31.6076, 35.5546, 42.4893, 55.246, 79.8941, 128.981", \ + "31.4496, 33.732, 37.6964, 44.6199, 57.3651, 82.0159, 131.116", \ + "33.564, 35.8338, 39.7933, 46.6876, 59.4227, 84.0487, 133.195", \ + "34.2138, 36.4652, 40.3903, 47.2684, 59.9811, 84.592, 133.866" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "12.0889, 15.2877, 21.9691, 35.6837, 63.7311, 121.116, 237.75", \ + "12.0844, 15.2878, 21.9707, 35.6873, 63.7313, 121.115, 237.75", \ + "12.0766, 15.2814, 21.9619, 35.6789, 63.7283, 121.115, 237.749", \ + "12.0915, 15.2821, 21.9646, 35.68, 63.7276, 121.121, 237.751", \ + "12.1045, 15.2749, 21.976, 35.9471, 63.76, 121.121, 237.824", \ + "12.2018, 15.3554, 22.0726, 35.698, 63.7485, 122.058, 237.886", \ + "12.329, 15.4762, 22.0901, 35.7512, 63.9118, 121.579, 241.448" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "23.4382, 25.7486, 29.7645, 36.5509, 48.5359, 71.0282, 115.178", \ + "24.3135, 26.6232, 30.6375, 37.426, 49.4066, 71.914, 116.049", \ + "25.6897, 27.9923, 32.0006, 38.7844, 50.7653, 73.2735, 117.411", \ + "27.5357, 29.8204, 33.8268, 40.6092, 52.6027, 75.097, 119.245", \ + "29.695, 31.9879, 35.9948, 42.7821, 54.7751, 77.29, 121.433", \ + "32.0213, 34.3122, 38.3178, 45.1185, 57.1491, 79.7304, 123.798", \ + "33.3073, 35.5807, 39.6308, 46.4265, 58.4703, 80.992, 125.153" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.2596, 14.2469, 20.1464, 32.0809, 56.0838, 104.813, 204.151", \ + "11.2567, 14.2424, 20.1462, 32.0764, 56.0713, 104.822, 204.152", \ + "11.2629, 14.2543, 20.1541, 32.0855, 56.0732, 104.823, 204.152", \ + "11.2958, 14.3332, 20.1858, 32.1012, 56.0958, 104.851, 204.161", \ + "11.4005, 14.3788, 20.2542, 32.2534, 56.1376, 104.917, 204.178", \ + "11.6551, 14.6285, 20.5289, 32.3622, 56.3579, 105.347, 204.263", \ + "12.2643, 15.2071, 20.9975, 32.7655, 56.6228, 105.404, 205.671" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.21295, 2.07784, 1.94258, 1.84408, 1.77942, 1.73815, 1.71239", \ + "2.22588, 2.09025, 1.95513, 1.85698, 1.79265, 1.75136, 1.72561", \ + "2.26765, 2.13188, 1.99698, 1.89912, 1.83516, 1.79405, 1.76833", \ + "2.38418, 2.24781, 2.11005, 2.0104, 1.94592, 1.9041, 1.87914", \ + "2.65622, 2.51945, 2.38195, 2.31239, 2.22014, 2.17097, 2.15569", \ + "3.24502, 3.10566, 2.96503, 2.86535, 2.79979, 2.87464, 2.75786", \ + "4.45443, 4.30673, 4.16255, 4.05985, 3.99924, 3.99794, 4.37475" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.27974, 2.14481, 1.99857, 1.88951, 1.82243, 1.77972, 1.75297", \ + "2.29241, 2.15748, 2.01106, 1.9018, 1.83428, 1.79188, 1.76501", \ + "2.33549, 2.20015, 2.05348, 1.94361, 1.87599, 1.83308, 1.80626", \ + "2.44692, 2.31214, 2.16379, 2.05307, 1.98473, 1.94209, 1.9157", \ + "2.72872, 2.59065, 2.43514, 2.32212, 2.25292, 2.21309, 2.18781", \ + "3.33963, 3.1972, 3.04011, 2.9181, 2.84224, 2.79692, 2.76773", \ + "4.61818, 4.47149, 4.29993, 4.16988, 4.08024, 4.02693, 3.99491" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.43502, 2.29987, 2.16454, 2.06603, 2.00133, 1.96013, 1.93457", \ + "2.44812, 2.31239, 2.17716, 2.07887, 2.01448, 1.97322, 1.94762", \ + "2.48818, 2.35242, 2.21737, 2.11929, 2.0552, 2.01411, 1.98851", \ + "2.60404, 2.46865, 2.33199, 2.23389, 2.16976, 2.12821, 2.10338", \ + "2.8767, 2.73825, 2.60383, 2.50414, 2.43845, 2.3964, 2.37378", \ + "3.46799, 3.32615, 3.19114, 3.08211, 3.01483, 2.97321, 2.94779", \ + "4.67541, 4.52937, 4.38415, 4.27482, 4.20132, 4.15671, 4.13267" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.48426, 2.34932, 2.20301, 2.09386, 2.02666, 1.98378, 1.95671", \ + "2.49631, 2.36156, 2.21526, 2.10603, 2.03848, 1.99614, 1.96875", \ + "2.53593, 2.39994, 2.25282, 2.14273, 2.07499, 2.03213, 2.0048", \ + "2.64759, 2.51852, 2.36309, 2.25121, 2.18305, 2.13893, 2.11093", \ + "2.92986, 2.79441, 2.6377, 2.5327, 2.45501, 2.4107, 2.37114", \ + "3.54139, 3.40002, 3.24284, 3.12693, 3.06136, 3.05086, 2.9879", \ + "4.82117, 4.67497, 4.50834, 4.37892, 4.29447, 4.29924, 4.31248" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.746905; + rise_capacitance : 0.746345; + rise_capacitance_range (0.618345, 0.746345); + fall_capacitance : 0.746905; + fall_capacitance_range (0.610347, 0.746905); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.23027, 1.24731, 1.3027, 1.44421, 1.75877, 2.41539, 3.72915" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05714, 1.07532, 1.13107, 1.27294, 1.58745, 2.24335, 3.55672" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.516461, 0.527677, 0.570991, 0.673697, 0.926697, 1.47026, 2.59069" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737041, 0.753032, 0.801614, 0.926601, 1.20127, 1.78817, 2.97708" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.719953, 0.731316, 0.773283, 0.876269, 1.12978, 1.67303, 2.79372" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.538462, 0.555571, 0.605295, 0.729446, 1.00486, 1.59062, 2.77943" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.499819, 0.511328, 0.554499, 0.659566, 0.912011, 1.45788, 2.58097" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.797708, 0.813064, 0.862154, 0.985529, 1.26485, 1.85038, 3.04453" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720171, 0.732285, 0.77456, 0.879424, 1.13257, 1.67849, 2.80125" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.55613, 0.573063, 0.622999, 0.746471, 1.02542, 1.61076, 2.80509" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.3146, 1.32965, 1.37613, 1.49726, 1.7733, 2.35317, 3.53874" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.03398, 1.05003, 1.09758, 1.21938, 1.49498, 2.07412, 3.25968" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.30454, 1.32282, 1.37723, 1.5172, 1.82847, 2.47817, 3.78578" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.2259, 1.24395, 1.29841, 1.43901, 1.75047, 2.399, 3.70556" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.508968, 0.519785, 0.563066, 0.66685, 0.918921, 1.46249, 2.58294" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.750486, 0.766536, 0.81507, 0.940055, 1.21542, 1.80146, 2.99033" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.716815, 0.728423, 0.770389, 0.874277, 1.12676, 1.67036, 2.79109" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.547468, 0.564556, 0.614287, 0.738314, 1.01442, 1.59891, 2.78714" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.30959, 1.32773, 1.38197, 1.52063, 1.8324, 2.48041, 3.78262" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.26574, 1.28421, 1.3383, 1.4779, 1.78941, 2.43628, 3.73759" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.508154, 0.519792, 0.563093, 0.667252, 0.918949, 1.46226, 2.58298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.75064, 0.766559, 0.815139, 0.940132, 1.21677, 1.80149, 2.99026" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.715954, 0.728372, 0.770557, 0.874392, 1.12684, 1.67008, 2.79103" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.547776, 0.564965, 0.614586, 0.738662, 1.01603, 1.59922, 2.7874" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.22649, 1.24339, 1.29896, 1.43955, 1.75369, 2.41213, 3.72568" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.0531, 1.07119, 1.1274, 1.26853, 1.58255, 2.24018, 3.55317" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.515875, 0.527652, 0.570991, 0.673891, 0.926851, 1.47005, 2.5907" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737123, 0.753012, 0.801652, 0.926616, 1.20147, 1.7882, 2.97691" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.71944, 0.73127, 0.77342, 0.876174, 1.12982, 1.67283, 2.79369" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.538451, 0.555643, 0.605293, 0.729472, 1.00467, 1.59064, 2.77931" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.500083, 0.511961, 0.554544, 0.659543, 0.911821, 1.45741, 2.58058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.782503, 0.797756, 0.846391, 0.970947, 1.24891, 1.8345, 3.02786" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.720457, 0.732777, 0.774605, 0.879499, 1.13228, 1.67798, 2.80084" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.550259, 0.567112, 0.61705, 0.740651, 1.01935, 1.60458, 2.7979" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.23454, 1.24935, 1.29585, 1.41846, 1.69402, 2.27398, 3.46137" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.997485, 1.01401, 1.06108, 1.18421, 1.45937, 2.03891, 3.22655" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.500059, 0.511953, 0.554732, 0.659817, 0.91226, 1.45809, 2.58123" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.797954, 0.813302, 0.862392, 0.985786, 1.26505, 1.85065, 3.04477" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.722552, 0.734976, 0.776928, 0.881833, 1.13496, 1.68086, 2.80363" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.551289, 0.568195, 0.618157, 0.741612, 1.02057, 1.60587, 2.80034" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.31466, 1.32987, 1.37632, 1.49724, 1.77349, 2.35335, 3.53896" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (scalar) { + values ( \ + "0" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.02418, 1.04035, 1.08789, 1.20966, 1.48526, 2.06442, 3.2501" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.695512; + rise_capacitance : 0.689171; + rise_capacitance_range (0.575991, 0.689171); + fall_capacitance : 0.695512; + fall_capacitance_range (0.621086, 0.695512); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.83404, -5.72727, -5.53766, -8.06396, -6.60256, -10.2324, -18.6902", \ + "-6.13251, -6.02573, -5.83613, -5.55267, -6.90103, -10.5308, -18.9886", \ + "-6.71645, -6.60967, -6.42006, -6.13661, -7.48496, -11.1148, -19.5726", \ + "-10.6226, -7.72556, -7.53595, -10, -8.60085, -12.2306, -19.541", \ + "-9.85618, -9.7494, -9.5598, -9.27634, -10.6247, -14.2545, -22.7123", \ + "-13.0721, -12.9654, -12.7757, -12.4923, -13.8406, -17.4704, -25.9283", \ + "-16.1771, -16.0703, -15.8807, -18.4375, -16.9456, -24.5729, -33.0307" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00922, 1.54424, 2.57399, 1.70166, 3.62706, 6.19459, 4.49341", \ + "-3.32637, 1.20614, 2.23589, 4.13426, 3.28897, 5.8565, 4.15532", \ + "-3.98342, 0.549097, 1.57885, 3.47722, 2.63192, 5.19946, 3.49828", \ + "-3.97461, -0.688431, 0.34132, -0.46875, 1.39439, 3.96193, 3.40821", \ + "-3.39225, -2.85723, -1.82748, 0.0708878, -0.774407, 1.79313, 0.0919478", \ + "-6.50483, -5.96981, -4.94006, -3.04169, 0.110514, -1.31945, 0.976869", \ + "-7.8299, -7.29488, -6.26513, -7.18751, -5.21206, -2.64452, -4.3457" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.12891, -5.96599, -5.67322, -8.01025, -6.96032, -10.2037, -17.4021", \ + "-5.99643, -5.83351, -5.54074, -5.08755, -6.82784, -10.0712, -17.2696", \ + "-5.76236, -5.59944, -5.30667, -8.85098, -6.59377, -9.83716, -17.0355", \ + "-8.20801, -9.25236, -8.95959, -6.7003, -10.2467, -13.4901, -19.541", \ + "-11.1974, -11.0345, -10.7417, -10.2885, -12.0288, -15.2722, -22.4706", \ + "-14.8051, -14.6422, -14.3495, -13.8963, -15.6366, -18.88, -26.0783", \ + "-17.8928, -17.7299, -17.4371, -19.8145, -18.7242, -25.9651, -33.1635" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.867129, 1.85255, 3.74187, 4.4043, 7.57957, 8.34966, 5.89234", \ + "0.642878, 1.62829, 3.51762, 2.97277, 7.35532, 8.12541, 5.66809", \ + "0.20298, 1.1884, 3.07773, 2.53287, 2.91792, 7.68551, 5.22819", \ + "-3.41309, 0.343023, -1.76515, 3.6875, 2.07255, 2.84264, 6.38282", \ + "-2.19545, -1.21004, -3.31821, 0.134441, 0.519486, 1.28958, 2.82976", \ + "-4.75082, -3.76541, -1.87608, -2.42093, -2.03588, -1.26579, 0.274388", \ + "-7.65857, -6.67316, -4.78383, -3.46985, -4.94363, -0.176042, -2.63336" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.83404, -5.72727, -5.53766, -8.01025, -6.60256, -10.2037, -17.4021", \ + "-5.99643, -5.83351, -5.54074, -5.08755, -6.82784, -10.0712, -17.2696", \ + "-5.76236, -5.59944, -5.30667, -6.13661, -6.59377, -9.83716, -17.0355", \ + "-8.20801, -7.72556, -7.53595, -6.7003, -8.60085, -12.2306, -19.541", \ + "-9.85618, -9.7494, -9.5598, -9.27634, -10.6247, -14.2545, -22.4706", \ + "-13.0721, -12.9654, -12.7757, -12.4923, -13.8406, -17.4704, -25.9283", \ + "-16.1771, -16.0703, -15.8807, -18.4375, -16.9456, -24.5729, -33.0307" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00922, 1.85255, 3.74187, 4.4043, 7.57957, 8.34966, 5.89234", \ + "0.642878, 1.62829, 3.51762, 4.13426, 7.35532, 8.12541, 5.66809", \ + "0.20298, 1.1884, 3.07773, 3.47722, 2.91792, 7.68551, 5.22819", \ + "-3.41309, 0.343023, 0.34132, 3.6875, 2.07255, 3.96193, 6.38282", \ + "-2.19545, -1.21004, -1.82748, 0.134441, 0.519486, 1.79313, 2.82976", \ + "-4.75082, -3.76541, -1.87608, -2.42093, 0.110514, -1.26579, 0.976869", \ + "-7.65857, -6.67316, -4.78383, -3.46985, -4.94363, -0.176042, -2.63336" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_rising; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.2729, 12.0526, 11.6406, 12.0605, 12.2588, 14.8746, 24.1037", \ + "16.7017, 12.4814, 12.0694, 11.3797, 12.6876, 15.3034, 24.5325", \ + "17.5415, 13.3212, 12.9092, 12.2195, 13.5274, 16.1432, 25.3723", \ + "16.2427, 14.9298, 14.5178, 15, 15.136, 17.7518, 24.1309", \ + "22.0831, 17.8628, 17.4508, 16.7611, 18.069, 20.6848, 25.9164", \ + "26.8122, 22.5919, 22.1799, 21.4903, 22.7982, 25.4139, 30.6455", \ + "31.7233, 31.5005, 31.0885, 27.5195, 27.7093, 30.3251, 35.5566" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4042, 12.3335, 10.2784, 7.62207, 5.86722, 4.57676, 5.99334", \ + "13.786, 12.7154, 10.6603, 10.8918, 6.24908, 4.95862, 6.3752", \ + "14.5433, 13.4727, 11.4176, 11.6491, 7.00639, 5.71593, 7.13251", \ + "18.0022, 14.9617, 12.9066, 10.3125, 8.4954, 7.20494, 5.77148", \ + "18.9079, 17.8373, 15.7822, 16.0137, 11.371, 10.0805, 11.4971", \ + "24.2493, 23.1787, 21.1236, 21.3551, 16.7124, 15.4219, 12.841", \ + "29.2956, 28.225, 30.1673, 27.5195, 25.7561, 20.4682, 21.8848" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_rising; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.2729, 12.0526, 11.6406, 12.0605, 12.2588, 14.8746, 24.1037", \ + "16.6979, 12.4776, 12.0656, 11.3759, 12.6838, 15.2996, 24.5287", \ + "17.5339, 13.3136, 12.9016, 12.2119, 13.5198, 16.1356, 25.3647", \ + "16.2427, 14.9298, 14.5178, 15, 15.136, 17.7518, 24.1309", \ + "22.1591, 21.9363, 17.5268, 16.8372, 18.1451, 20.7609, 25.9924", \ + "27.2838, 23.0635, 22.6515, 21.9619, 23.2698, 25.8855, 31.1171", \ + "33.9597, 33.7369, 29.3274, 29.7559, 29.9456, 32.5614, 37.793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.3705, 10.8439, 9.82765, 5.03662, 4.7719, 1.57139, 2.40203", \ + "12.1151, 11.5884, 10.5722, 4.69113, 5.51649, 2.31599, 3.14663", \ + "13.5599, 13.0332, 8.0195, 6.13588, 6.96125, 3.76074, 4.59139", \ + "13.3447, 11.7475, 10.7313, 10, 5.67552, 6.47251, 4.44335", \ + "16.9867, 16.4601, 15.4439, 13.5602, 10.3881, 7.18759, 8.01824", \ + "19.5706, 19.0439, 18.0277, 16.144, 16.9694, 13.7689, 14.5995", \ + "25.3552, 24.8285, 23.8123, 23.0469, 22.7541, 19.5536, 16.3867" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.2729, 12.0526, 11.6406, 12.0605, 12.2588, 14.8746, 24.1037", \ + "16.7017, 12.4814, 12.0694, 11.3797, 12.6876, 15.3034, 24.5325", \ + "17.5415, 13.3212, 12.9092, 12.2195, 13.5274, 16.1432, 25.3723", \ + "16.2427, 14.9298, 14.5178, 15, 15.136, 17.7518, 24.1309", \ + "22.1591, 21.9363, 17.5268, 16.8372, 18.1451, 20.7609, 25.9924", \ + "27.2838, 23.0635, 22.6515, 21.9619, 23.2698, 25.8855, 31.1171", \ + "33.9597, 33.7369, 31.0885, 29.7559, 29.9456, 32.5614, 37.793" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4042, 12.3335, 10.2784, 7.62207, 5.86722, 4.57676, 5.99334", \ + "13.786, 12.7154, 10.6603, 10.8918, 6.24908, 4.95862, 6.3752", \ + "14.5433, 13.4727, 11.4176, 11.6491, 7.00639, 5.71593, 7.13251", \ + "18.0022, 14.9617, 12.9066, 10.3125, 8.4954, 7.20494, 5.77148", \ + "18.9079, 17.8373, 15.7822, 16.0137, 11.371, 10.0805, 11.4971", \ + "24.2493, 23.1787, 21.1236, 21.3551, 16.9694, 15.4219, 14.5995", \ + "29.2956, 28.225, 30.1673, 27.5195, 25.7561, 20.4682, 21.8848" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621998, -0.0621615, -0.0629777, -0.0623495, -0.0624497, -0.0624578, -0.0620466" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795083, 0.0795709, 0.0788516, 0.0798201, 0.0797534, 0.0795726, 0.0794461" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112392, 0.1121, 0.113268, 0.112742, 0.113226, 0.112731, 0.112433" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0964212, -0.0964517, -0.0950618, -0.096078, -0.0967097, -0.0958424, -0.0954326" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621861, -0.0624084, -0.0624278, -0.0626254, -0.0617905, -0.0621625, -0.0618466" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0799906, 0.0798718, 0.0798757, 0.080071, 0.0799148, 0.0801271, 0.0798596" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0703789, 0.0706147, 0.0702747, 0.0705028, 0.0698914, 0.0700775, 0.0696855" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0703789, -0.0706147, -0.0702747, -0.0705028, -0.0698914, -0.0700775, -0.0696855" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0535459, -0.0568548, -0.0610074, -0.0626477, -0.065068, -0.0652897, -0.0654838" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0923304, 0.0921934, 0.0925461, 0.0921365, 0.0926996, 0.0920717, 0.0920498" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.114045, 0.110673, 0.1095, 0.108063, 0.108368, 0.107063, 0.106382" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0841537, -0.0839337, -0.0847121, -0.084866, -0.0858286, -0.085106, -0.0846547" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0506769, -0.0550588, -0.05902, -0.0617518, -0.0634075, -0.0647373, -0.0648943" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0923686, 0.0926498, 0.0931005, 0.0933474, 0.0932201, 0.0923509, 0.0923847" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113415, 0.110573, 0.108554, 0.107442, 0.106989, 0.106773, 0.106194" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0865865, -0.0857557, -0.0865841, -0.0868131, -0.0868737, -0.0857682, -0.0854941" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0613121, -0.0611932, -0.0621493, -0.0622018, -0.0615687, -0.0617744, -0.0612676" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0810815, 0.0809419, 0.0816402, 0.0810912, 0.081495, 0.0812908, 0.080985" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113411, 0.113058, 0.113863, 0.113935, 0.113693, 0.113657, 0.113242" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0942317, -0.0940204, -0.0949874, -0.0940815, -0.0951135, -0.0943501, -0.093854" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0614644, -0.060802, -0.0612409, -0.0619368, -0.0620099, -0.0613605, -0.0614178" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0931863, 0.0926358, 0.0929372, 0.0933168, 0.0935724, 0.0930886, 0.0924648" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0698724, 0.0694097, 0.069379, 0.0699207, 0.0698289, 0.0690965, 0.0686979" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0427216, -0.0419128, -0.0421636, -0.0423079, -0.042319, -0.0419721, -0.0417066" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46519, 0.464791, 0.485031, 0.554879, 0.749716, 1.2059, 2.15147" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.837362, 0.832308, 0.844042, 0.903133, 1.09945, 1.57115, 2.54283" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.846553, 0.846131, 0.865965, 0.935969, 1.13041, 1.58655, 2.52915" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.451153, 0.44645, 0.45738, 0.516846, 0.712751, 1.18414, 2.15721" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.470094, 0.471975, 0.493587, 0.564189, 0.763068, 1.21054, 2.14325" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.787807, 0.786577, 0.799475, 0.865828, 1.0656, 1.53626, 2.50291" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.8325, 0.834417, 0.855454, 0.92543, 1.12477, 1.57181, 2.50176" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.419564, 0.418424, 0.432082, 0.496785, 0.697177, 1.16809, 2.13507" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.45765; + rise_capacitance : 1.45424; + rise_capacitance_range (1.30535, 1.45424); + fall_capacitance : 1.45765; + fall_capacitance_range (1.25979, 1.45765); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.49273, -2.63133, -0.982578, -0.751953, 2.09756, 2.25521, 2.5705", \ + "-3.43514, -2.57374, -0.924981, 2.07634, 2.15516, 2.31281, 2.6281", \ + "-3.32086, -2.45946, -0.810708, -1.80689, 2.26943, 2.42708, 2.74237", \ + "-5.8667, -2.23461, -0.58585, -0.3125, 2.49429, 2.65194, 0.107427", \ + "-2.66104, -1.79964, -4.14838, -1.14706, 2.92926, 3.08691, 3.4022", \ + "-1.85009, -0.988693, 0.660062, -0.336119, 3.7402, 3.89785, 4.21314", \ + "-0.464176, 0.397226, 2.04598, 2.22656, 5.12612, 5.28377, 5.59906" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.39166, -7.40873, -7.46051, -10.4248, -8.26479, -14.651, -19.9441", \ + "-7.69486, -7.71193, -7.76371, -7.93778, -12.5655, -14.9542, -20.2473", \ + "-8.30062, -8.31769, -8.36947, -8.54354, -13.1713, -15.56, -20.8531", \ + "-12.3193, -13.5242, -13.5759, -12.5, -14.3802, -16.7689, -24.9219", \ + "-15.9148, -15.9318, -15.9836, -16.1577, -16.7879, -19.1766, -28.4673", \ + "-20.6892, -20.7063, -20.7581, -20.9322, -21.5624, -23.9511, -33.2417", \ + "-30.0745, -30.0915, -30.1433, -29.1504, -30.9476, -33.3363, -38.6295" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.89117, -1.88856, -1.88332, -4.72168, -6.18974, -7.91745, -15.7288", \ + "-1.87008, -1.86746, -1.86223, -1.85177, -6.16864, -7.89636, -15.7077", \ + "-1.80836, -1.80574, -1.80051, -1.79004, -6.10692, -7.83464, -15.6459", \ + "-4.43604, -1.60413, -1.5989, -4.375, -5.90531, -7.63303, -14.3164", \ + "-0.890902, -0.888286, -0.883055, -0.872591, -5.18947, -6.91718, -14.7285", \ + "1.79132, 1.79394, 1.79917, 1.80963, -2.50724, -4.23496, -12.0463", \ + "8.16039, 8.16301, 8.16824, 9.33593, 7.85933, 2.13411, -5.67719" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.884707, 1.82749, 3.63331, 4.15527, 7.13174, 7.5433, 8.3664", \ + "0.597098, 1.53988, 3.3457, 2.64086, 6.84413, 7.25569, 8.07879", \ + "0.00832338, 0.951105, 2.75692, 2.05208, 6.25536, 6.66691, 7.49002", \ + "-3.97461, -0.280666, 1.52515, 2.10938, 5.02359, 5.43514, 3.40821", \ + "-7.90138, -6.9586, -5.15278, -1.86012, 2.34316, 2.75471, -0.419685", \ + "-14.1298, -13.187, -11.3812, -8.08854, -7.88277, -3.47371, -6.64811", \ + "-26.0594, -25.1166, -23.3108, -22.0156, -19.8124, -15.4033, -14.5802" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.89117, -1.88856, -0.982578, -0.751953, 2.09756, 2.25521, 2.5705", \ + "-1.87008, -1.86746, -0.924981, 2.07634, 2.15516, 2.31281, 2.6281", \ + "-1.80836, -1.80574, -0.810708, -1.79004, 2.26943, 2.42708, 2.74237", \ + "-4.43604, -1.60413, -0.58585, -0.3125, 2.49429, 2.65194, 0.107427", \ + "-0.890902, -0.888286, -0.883055, -0.872591, 2.92926, 3.08691, 3.4022", \ + "1.79132, 1.79394, 1.79917, 1.80963, 3.7402, 3.89785, 4.21314", \ + "8.16039, 8.16301, 8.16824, 9.33593, 7.85933, 5.28377, 5.59906" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.884707, 1.82749, 3.63331, 4.15527, 7.13174, 7.5433, 8.3664", \ + "0.597098, 1.53988, 3.3457, 2.64086, 6.84413, 7.25569, 8.07879", \ + "0.00832338, 0.951105, 2.75692, 2.05208, 6.25536, 6.66691, 7.49002", \ + "-3.97461, -0.280666, 1.52515, 2.10938, 5.02359, 5.43514, 3.40821", \ + "-7.90138, -6.9586, -5.15278, -1.86012, 2.34316, 2.75471, -0.419685", \ + "-14.1298, -13.187, -11.3812, -8.08854, -7.88277, -3.47371, -6.64811", \ + "-26.0594, -25.1166, -23.3108, -22.0156, -19.8124, -15.4033, -14.5802" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_rising; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.1445, 14.7775, 14.0655, 9.84131, 6.41025, 7.16932, 6.31024", \ + "15.6656, 15.2986, 14.5866, 13.2503, 6.93136, 7.69043, 6.83134", \ + "16.6536, 16.2866, 15.5746, 10.2408, 7.91937, 8.67844, 7.81935", \ + "15.4858, 14.0483, 13.3363, 14, 9.67856, 6.44014, 6.71874", \ + "17.5948, 17.2279, 12.5183, 11.1821, 8.86062, 9.61969, 8.76061", \ + "15.959, 15.592, 14.88, 9.54618, 7.22474, 7.98381, 7.12473", \ + "12.6872, 12.3202, 11.6082, 7.39258, 3.95298, 4.71205, 3.85297" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.7988, 17.6864, 13.4864, 14.2798, 14.312, 17.7542, 25.1608", \ + "18.5393, 18.4269, 14.2269, 13.9107, 15.0525, 18.4947, 25.9013", \ + "19.9792, 19.8669, 15.6669, 15.3506, 16.4925, 19.9347, 27.3413", \ + "19.7681, 18.5852, 18.3827, 19.2188, 19.2083, 22.6505, 27.1973", \ + "23.4726, 23.3603, 23.1578, 22.8415, 23.9834, 27.4256, 30.8347", \ + "30.3968, 30.2845, 26.0845, 25.7682, 26.9101, 30.3523, 37.7589", \ + "37.739, 37.6266, 37.4241, 34.2285, 38.2497, 37.6944, 45.101" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_rising; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.6425, 12.4867, 8.2021, 8.79639, 8.73239, 14.743, 18.7692", \ + "12.7628, 8.60948, 8.32236, 7.84609, 8.85265, 10.8658, 18.8895", \ + "12.9791, 8.82583, 8.53871, 8.06244, 9.06899, 11.0821, 19.1058", \ + "10.3687, 9.16183, 8.87471, 9.53125, 9.40499, 11.4181, 16.5723", \ + "12.0533, 11.8975, 11.6104, 11.1341, 8.14314, 14.1537, 18.18", \ + "12.6237, 8.47043, 8.18331, 7.70704, 8.7136, 10.7267, 18.7504", \ + "4.48213, 4.32632, 4.03921, 0.683599, 0.571991, 2.5851, 10.6088" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.1225, 11.5292, 10.3885, 5.40283, 4.82956, 2.7229, 0.629144", \ + "12.8136, 12.2203, 11.0796, 8.98176, 5.52061, 3.41395, 1.3202", \ + "14.1971, 13.6038, 12.4631, 10.3653, 6.90415, 4.79749, 2.70373", \ + "14.0625, 16.3766, 15.2359, 10.3125, 9.67697, 7.57031, 7.47656", \ + "22.5385, 21.9452, 20.8045, 18.7068, 15.2456, 13.1389, 11.0452", \ + "33.7677, 33.1745, 32.0338, 29.936, 26.4748, 24.3682, 22.2744", \ + "52.5964, 52.0031, 50.8624, 49.8828, 49.301, 43.1968, 41.1031" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.1445, 14.7775, 14.0655, 9.84131, 8.73239, 14.743, 18.7692", \ + "15.6656, 15.2986, 14.5866, 13.2503, 8.85265, 10.8658, 18.8895", \ + "16.6536, 16.2866, 15.5746, 10.2408, 9.06899, 11.0821, 19.1058", \ + "15.4858, 14.0483, 13.3363, 14, 9.67856, 11.4181, 16.5723", \ + "17.5948, 17.2279, 12.5183, 11.1821, 8.86062, 14.1537, 18.18", \ + "15.959, 15.592, 14.88, 9.54618, 8.7136, 10.7267, 18.7504", \ + "12.6872, 12.3202, 11.6082, 7.39258, 3.95298, 4.71205, 10.6088" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "17.7988, 17.6864, 13.4864, 14.2798, 14.312, 17.7542, 25.1608", \ + "18.5393, 18.4269, 14.2269, 13.9107, 15.0525, 18.4947, 25.9013", \ + "19.9792, 19.8669, 15.6669, 15.3506, 16.4925, 19.9347, 27.3413", \ + "19.7681, 18.5852, 18.3827, 19.2188, 19.2083, 22.6505, 27.1973", \ + "23.4726, 23.3603, 23.1578, 22.8415, 23.9834, 27.4256, 30.8347", \ + "33.7677, 33.1745, 32.0338, 29.936, 26.9101, 30.3523, 37.7589", \ + "52.5964, 52.0031, 50.8624, 49.8828, 49.301, 43.1968, 45.101" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0206596, -0.0108527, 0.0302173, 0.137704, 0.376578, 0.877824, 1.89441" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.37444, 0.387845, 0.438157, 0.555297, 0.811413, 1.33923, 2.40647" \ + ); + } + } + internal_power () { + when : "(CLK * D) + (CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369619, 0.37994, 0.42161, 0.530366, 0.769269, 1.27032, 2.28585" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0315439, -0.0143834, 0.0388714, 0.157645, 0.416389, 0.946034, 2.01626" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0531271, -0.0386163, 0.00543725, 0.114599, 0.354787, 0.854413, 1.87037" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.374473, 0.395345, 0.449076, 0.571094, 0.830671, 1.36001, 2.4314" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.354451, 0.368471, 0.413996, 0.522916, 0.765427, 1.26331, 2.278" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0320296, -0.0110094, 0.0429529, 0.16454, 0.423561, 0.952785, 2.02404" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11768, 0.132634, 0.178032, 0.301256, 0.602783, 1.26135, 2.6351" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.44653, 0.473325, 0.552593, 0.725218, 1.08239, 1.79955, 3.22268" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.427173, 0.441332, 0.488054, 0.610809, 0.914064, 1.57198, 2.94389" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.130789, 0.158143, 0.237265, 0.409733, 0.766215, 1.48177, 2.90341" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.7526, 0.765667, 0.810407, 0.919234, 1.1635, 1.67668, 2.74791" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.877952, 0.894089, 0.942073, 1.06566, 1.32952, 1.88947, 3.03933" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.921354, 0.934188, 0.978504, 1.08746, 1.33101, 1.84579, 2.91725" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.704163, 0.720133, 0.768561, 0.892064, 1.15646, 1.71523, 2.86599" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.442431, 0.458578, 0.524882, 0.710013, 1.15482, 2.11302, 4.07634" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.99054, 1.01208, 1.09222, 1.3056, 1.80877, 2.88499, 5.0535" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.965496, 0.981864, 1.04704, 1.2316, 1.67856, 2.63463, 4.59747" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.46844, 0.490167, 0.570451, 0.782853, 1.28604, 2.36212, 4.5317" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0399747, -0.0248184, 0.0189082, 0.127917, 0.367067, 0.866247, 1.88348" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369769, 0.389992, 0.4432, 0.566057, 0.823811, 1.35448, 2.42493" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.369079, 0.383023, 0.427963, 0.537989, 0.777996, 1.27492, 2.29229" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0360945, -0.0165021, 0.0370056, 0.157808, 0.416352, 0.946916, 2.0175" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.688085; + rise_capacitance : 0.68318; + rise_capacitance_range (0.561453, 0.68318); + fall_capacitance : 0.688085; + fall_capacitance_range (0.576669, 0.688085); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.01807, -6.05842, -2.15273, -5.19043, -7.01144, -8.98749, -15.7776", \ + "-6.32113, -6.36149, -2.45579, -2.68374, -7.31451, -9.29055, -16.0806", \ + "-6.89886, -6.93922, -3.03352, -3.26146, -7.89223, -9.86828, -16.6584", \ + "-6.77246, -7.98103, -4.07533, -7.07031, -4.93655, -10.9101, -16.5723", \ + "-7.75164, -7.792, -7.8838, -8.11175, -8.74502, -10.7211, -17.5112", \ + "-7.37357, -7.41393, -7.50573, -7.73368, -8.36695, -10.343, -17.1331", \ + "-6.61744, -6.6578, -6.7496, -5.81055, -7.61081, -9.58686, -20.3745" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.00922, 1.54814, 2.58179, 1.70166, 4.71859, 5.21106, 6.19599", \ + "0.697743, 1.23666, 2.27032, 4.16088, 4.40711, 4.89958, 5.88452", \ + "-3.93018, 0.606235, 1.63989, 3.53045, 3.77668, 4.26915, 5.25409", \ + "-3.97461, -4.68203, -3.64837, -0.46875, 2.48592, 2.97839, 1.11329", \ + "-7.92211, -7.38319, -6.34953, -4.45897, -4.21274, 0.27723, -2.73533", \ + "-13.803, -13.264, -12.2304, -10.3398, -10.0936, -9.60112, -8.61618", \ + "-27.4788, -22.9423, -25.9062, -22.0156, -19.7719, -19.2794, -22.292" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.90045, -3.55158, -2.90259, -4.62891, -3.49395, -6.88262, -13.66", \ + "-3.90655, -3.55768, -2.90869, -1.80572, -3.50006, -6.88873, -13.6661", \ + "-3.91876, -3.56989, -2.9209, -5.81543, -3.51226, -6.90093, -13.6783", \ + "-6.77246, -3.5943, -2.94531, -4.60938, -7.53418, -6.92535, -16.5723", \ + "-8.17535, -7.82648, -7.17749, -6.07452, -7.76885, -11.1575, -17.9349", \ + "-9.2394, -8.89053, -8.24154, -7.13857, -8.83291, -12.2216, -18.9989", \ + "-9.74884, -9.39997, -8.75098, -10.4785, -9.34235, -16.7285, -23.5059" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.46674, 3.27063, 4.8106, 4.80957, 7.81255, 8.19899, 8.97187", \ + "2.11909, 2.92298, 4.46295, 3.27418, 7.4649, 7.85134, 8.62422", \ + "1.43261, 2.2365, 3.77647, 2.5877, 6.77842, 7.16486, 7.93774", \ + "-2.69531, 0.898799, 2.43877, 2.5, 5.44072, 5.82716, 3.73047", \ + "-2.43943, -1.63555, -4.09308, -1.28435, 2.90637, 3.29282, 4.0657", \ + "-6.94393, -6.14004, -4.60007, -5.78884, -1.59812, -1.21168, -0.438794", \ + "-13.6961, -12.8922, -11.3523, -11.1875, -8.3503, -7.96386, -7.19098" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.90045, -3.55158, -2.15273, -4.62891, -3.49395, -6.88262, -13.66", \ + "-3.90655, -3.55768, -2.45579, -1.80572, -3.50006, -6.88873, -13.6661", \ + "-3.91876, -3.56989, -2.9209, -3.26146, -3.51226, -6.90093, -13.6783", \ + "-6.77246, -3.5943, -2.94531, -4.60938, -4.93655, -6.92535, -16.5723", \ + "-7.75164, -7.792, -7.17749, -6.07452, -7.76885, -10.7211, -17.5112", \ + "-7.37357, -7.41393, -7.50573, -7.13857, -8.36695, -10.343, -17.1331", \ + "-6.61744, -6.6578, -6.7496, -5.81055, -7.61081, -9.58686, -20.3745" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.46674, 3.27063, 4.8106, 4.80957, 7.81255, 8.19899, 8.97187", \ + "2.11909, 2.92298, 4.46295, 4.16088, 7.4649, 7.85134, 8.62422", \ + "1.43261, 2.2365, 3.77647, 3.53045, 6.77842, 7.16486, 7.93774", \ + "-2.69531, 0.898799, 2.43877, 2.5, 5.44072, 5.82716, 3.73047", \ + "-2.43943, -1.63555, -4.09308, -1.28435, 2.90637, 3.29282, 4.0657", \ + "-6.94393, -6.14004, -4.60007, -5.78884, -1.59812, -1.21168, -0.438794", \ + "-13.6961, -12.8922, -11.3523, -11.1875, -8.3503, -7.96386, -7.19098" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_rising; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1135, 10.6624, 9.81038, 9.39697, 9.31205, 15.3199, 19.3405", \ + "11.4784, 11.0273, 10.1752, 12.6692, 9.67691, 15.6847, 19.7054", \ + "12.18, 11.7289, 10.8768, 13.3709, 10.3785, 12.3888, 20.407", \ + "14.4707, 13.0196, 12.1676, 11.7969, 11.6692, 13.6795, 18.8281", \ + "15.6021, 15.151, 14.299, 12.7955, 13.8006, 15.8109, 23.8291", \ + "18.4622, 18.0111, 17.1591, 15.6556, 16.6607, 18.671, 22.6917", \ + "19.7875, 19.3364, 18.4844, 14.1016, 13.9886, 15.9989, 24.017" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.1782, 9.61839, 8.53209, 7.62207, 7.08251, 3.5723, 3.64959", \ + "10.9065, 10.3442, 9.2579, 7.23826, 7.80832, 4.29811, 4.3754", \ + "12.3426, 11.7803, 10.694, 8.67434, 9.24441, 5.73419, 5.81149", \ + "16.2427, 14.5903, 13.504, 12.6562, 12.0544, 8.54422, 5.77148", \ + "24.5216, 19.9618, 18.8755, 16.8559, 17.426, 13.9157, 13.993", \ + "30.273, 29.7107, 28.6244, 26.6048, 27.1749, 23.6647, 23.7419", \ + "45.7942, 45.2319, 44.1456, 43.3145, 42.6961, 39.1859, 35.2656" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_rising; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.7471, 10.2585, 9.3384, 8.79639, 9.05465, 11.7123, 17.0276", \ + "10.8369, 10.3484, 9.42824, 7.81566, 9.14448, 11.8021, 17.1174", \ + "11.0228, 10.5343, 9.61416, 11.9991, 9.33041, 11.988, 17.3033", \ + "12.4707, 14.9286, 14.0085, 9.53125, 9.72726, 12.3849, 18.8281", \ + "16.3109, 15.8224, 14.9023, 13.2897, 14.6185, 17.2762, 22.5914", \ + "18.4986, 18.0101, 17.09, 15.4774, 16.8062, 19.4639, 24.7791", \ + "24.4748, 23.9863, 19.0686, 18.5742, 18.7849, 21.4425, 26.7578" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5869, 5.76934, 8.19156, 2.37305, 0.699113, -0.507641, 1.07635", \ + "7.30685, 6.48683, 4.91155, 6.01748, 1.4166, 0.20985, 1.79384", \ + "8.71438, 7.89437, 6.31909, 7.42502, 2.82414, 1.61739, 3.20138", \ + "12.4707, 10.5997, 9.02438, 7.26562, 5.52944, 4.32268, 3.0371", \ + "16.3911, 15.5711, 13.9959, 15.1018, 10.5009, 9.29415, 6.88064", \ + "24.5776, 23.7576, 22.1823, 19.2907, 18.6874, 17.4806, 15.0671", \ + "33.9246, 33.1046, 31.5293, 29.7559, 28.0343, 26.8276, 24.4141" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_rising; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1135, 10.6624, 9.81038, 9.39697, 9.31205, 15.3199, 19.3405", \ + "11.4784, 11.0273, 10.1752, 12.6692, 9.67691, 15.6847, 19.7054", \ + "12.18, 11.7289, 10.8768, 13.3709, 10.3785, 12.3888, 20.407", \ + "14.4707, 14.9286, 14.0085, 11.7969, 11.6692, 13.6795, 18.8281", \ + "16.3109, 15.8224, 14.9023, 13.2897, 14.6185, 17.2762, 23.8291", \ + "18.4986, 18.0111, 17.1591, 15.6556, 16.8062, 19.4639, 24.7791", \ + "24.4748, 23.9863, 19.0686, 18.5742, 18.7849, 21.4425, 26.7578" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.1782, 9.61839, 8.53209, 7.62207, 7.08251, 3.5723, 3.64959", \ + "10.9065, 10.3442, 9.2579, 7.23826, 7.80832, 4.29811, 4.3754", \ + "12.3426, 11.7803, 10.694, 8.67434, 9.24441, 5.73419, 5.81149", \ + "16.2427, 14.5903, 13.504, 12.6562, 12.0544, 8.54422, 5.77148", \ + "24.5216, 19.9618, 18.8755, 16.8559, 17.426, 13.9157, 13.993", \ + "30.273, 29.7107, 28.6244, 26.6048, 27.1749, 23.6647, 23.7419", \ + "45.7942, 45.2319, 44.1456, 43.3145, 42.6961, 39.1859, 35.2656" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0602326, -0.0629904, -0.0665857, -0.0672357, -0.0689066, -0.0685116, -0.0684466" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0893809, 0.0899381, 0.0900724, 0.0902786, 0.0905375, 0.0906742, 0.0902955" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107953, 0.104238, 0.10333, 0.101453, 0.101661, 0.100271, 0.0996298" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0785766, -0.078521, -0.0791302, -0.0794113, -0.0797313, -0.0792387, -0.078986" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00436595, -0.00449231, -0.00452112, -0.0044521, -0.00432422, -0.00423486, -0.00395815" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0209664, 0.0209028, 0.02099, 0.0209526, 0.0209779, 0.0209502, 0.0209013" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.11284, 0.113713, 0.11404, 0.113901, 0.113249, 0.113184, 0.112446" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0950155, -0.0947698, -0.0955807, -0.0944047, -0.0954629, -0.0945483, -0.0939415" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.061493, -0.0638894, -0.0659206, -0.067434, -0.067565, -0.0682684, -0.068238" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0896886, 0.0898549, 0.0900301, 0.0900227, 0.0908088, 0.0904181, 0.0903429" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106844, 0.10342, 0.101768, 0.101486, 0.10006, 0.100237, 0.099553" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0811352, -0.0799717, -0.080375, -0.0801322, -0.0805503, -0.0798204, -0.0796072" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.070487, -0.0709925, -0.0712679, -0.070567, -0.0709072, -0.0707888, -0.0706619" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0880178, 0.0882538, 0.0887345, 0.0885895, 0.0889037, 0.0890477, 0.088878" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0507533, 0.0506491, 0.0504066, 0.0503887, 0.0502713, 0.0499803, 0.049736" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0507533, -0.0506491, -0.0504066, -0.0503887, -0.0502713, -0.0499803, -0.049736" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.418905, 0.421709, 0.443192, 0.509214, 0.68381, 1.0718, 1.86457" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726946, 0.724123, 0.743808, 0.81258, 1.00051, 1.42328, 2.26319" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.692757, 0.694851, 0.716206, 0.782335, 0.957445, 1.34532, 2.13651" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.45271, 0.449638, 0.469852, 0.538451, 0.726589, 1.14877, 1.98975" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00388057, 0.00359709, 0.00363631, 0.00359608, 0.0034217, 0.00322091, 0.00265229" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0195952, 0.0196442, 0.019561, 0.0193571, 0.0193389, 0.0193355, 0.019223" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127163, 0.127404, 0.127128, 0.127047, 0.126845, 0.125956, 0.123126" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0935359, -0.0937017, -0.0941648, -0.0934415, -0.0943006, -0.0934194, -0.0927456" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.412154, 0.417038, 0.442716, 0.518193, 0.708373, 1.12733, 1.97968" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.640605, 0.642572, 0.665891, 0.745752, 0.952312, 1.39927, 2.2913" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.64256, 0.646229, 0.672535, 0.748037, 0.938108, 1.35691, 2.20823" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.409774, 0.411591, 0.435339, 0.514647, 0.720923, 1.16804, 2.06107" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0726987, -0.0729184, -0.0735199, -0.0730686, -0.0741392, -0.0732024, -0.0733796" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104216, 0.104267, 0.104479, 0.105111, 0.105436, 0.105071, 0.104575" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0429971, 0.0428306, 0.0426031, 0.0421826, 0.0422663, 0.0419163, 0.0416215" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0194987, -0.0190973, -0.0188359, -0.0189824, -0.0187602, -0.018466, -0.0181945" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx1_ASAP7_75t_SL) { + area : 0.3645; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 22018.9; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18929.8; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21705.7; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23000.6; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22819.2; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19730.2; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22870.5; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19781.5; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22017.3; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18928.3; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19348.4; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20643.3; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22631.9; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23926.8; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20275.1; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21570; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14616.5; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18397.8; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16401.7; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16475; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15543.2; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19324.7; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 15540.1; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19321.9; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 14613.8; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18395; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16399.3; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 16207.8; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17328.6; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17401.8; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17326.2; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17134.7; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19082; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 46.08; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.5531, 26.7692, 30.665, 37.6018, 50.1412, 74.1834, 121.964", \ + "25.6928, 27.91, 31.8048, 38.742, 51.282, 75.3245, 123.104", \ + "27.8005, 30.013, 33.9045, 40.8411, 53.3801, 77.4214, 125.203", \ + "31.2813, 33.4981, 37.3846, 44.3228, 56.856, 80.8955, 128.674", \ + "36.8501, 39.0528, 42.9393, 49.864, 62.4059, 86.4677, 134.219", \ + "45.6293, 47.831, 51.7119, 58.6414, 71.1921, 95.2275, 143.05", \ + "59.3229, 61.5471, 65.4887, 72.4659, 84.9715, 109.005, 156.714" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "11.5055, 14.8121, 21.3688, 34.4226, 60.9701, 115.177, 225.316", \ + "11.5003, 14.818, 21.3697, 34.4231, 60.9699, 115.177, 225.316", \ + "11.5145, 14.8234, 21.3808, 34.4288, 60.9733, 115.177, 225.316", \ + "11.5864, 14.9, 21.4401, 34.4898, 61.0082, 115.195, 225.321", \ + "11.7382, 15.0406, 21.5679, 34.8582, 61.1207, 115.259, 225.341", \ + "12.1314, 15.4038, 21.8911, 34.8631, 61.4375, 115.457, 225.463", \ + "12.9794, 16.1935, 22.5792, 35.4193, 61.7271, 116.19, 226.111" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "24.7726, 27.0934, 31.1333, 37.9355, 49.8156, 71.9661, 115.458", \ + "25.9196, 28.242, 32.2818, 39.0843, 50.9646, 73.1225, 116.608", \ + "28.0308, 30.354, 34.3925, 41.194, 53.0749, 75.2405, 118.719", \ + "31.386, 33.7053, 37.742, 44.5346, 56.4122, 78.5686, 122.05", \ + "36.8993, 39.2112, 43.2324, 50.0199, 61.8744, 84.0299, 127.536", \ + "45.5778, 47.8857, 51.9007, 58.6708, 70.5069, 92.6211, 136.142", \ + "58.7719, 61.0723, 65.0647, 71.7847, 83.5679, 105.644, 149.089" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "10.9994, 14.1003, 19.9556, 31.4353, 54.2707, 100.642, 195.326", \ + "10.9961, 14.1038, 19.9577, 31.4348, 54.2701, 100.664, 195.325", \ + "10.9858, 14.0923, 19.9471, 31.4271, 54.2653, 100.651, 195.323", \ + "11.0017, 14.1012, 19.9656, 31.4354, 54.2653, 100.637, 195.32", \ + "11.047, 14.1427, 19.9894, 31.4552, 54.2635, 100.647, 195.328", \ + "11.3982, 14.4394, 20.1966, 31.5898, 54.4433, 101.345, 195.334", \ + "11.9341, 14.8921, 20.5186, 31.7673, 54.4574, 100.65, 198.036" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.00305, 0.975705, 0.948668, 0.928446, 0.9149, 0.905626, 0.899728", \ + "1.01264, 0.985514, 0.95833, 0.938271, 0.924671, 0.915413, 0.90956", \ + "1.04393, 1.01647, 0.988963, 0.968911, 0.955205, 0.946051, 0.940242", \ + "1.13087, 1.10338, 1.07519, 1.05398, 1.03986, 1.03057, 1.0245", \ + "1.32921, 1.30211, 1.27188, 1.25661, 1.23618, 1.22398, 1.21654", \ + "1.75199, 1.72136, 1.68813, 1.66574, 1.65206, 1.6369, 1.63017", \ + "2.61527, 2.58248, 2.54439, 2.51297, 2.49605, 2.49744, 2.47863" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "1.06037, 1.03099, 0.998951, 0.97445, 0.958838, 0.948971, 0.9425", \ + "1.07005, 1.04094, 1.00875, 0.984287, 0.968772, 0.958724, 0.952342", \ + "1.10174, 1.07265, 1.04053, 1.0161, 1.00078, 0.990843, 0.984448", \ + "1.18636, 1.1567, 1.1252, 1.09996, 1.08455, 1.0746, 1.06826", \ + "1.37856, 1.34887, 1.3152, 1.29001, 1.27415, 1.2657, 1.25978", \ + "1.79054, 1.75917, 1.72354, 1.69728, 1.67961, 1.66855, 1.66224", \ + "2.62563, 2.59076, 2.55289, 2.52171, 2.50088, 2.48879, 2.48237" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.861349, 0.834008, 0.806983, 0.786799, 0.773272, 0.764021, 0.758182", \ + "0.871489, 0.84431, 0.81709, 0.797015, 0.783414, 0.77418, 0.768365", \ + "0.903881, 0.876472, 0.849006, 0.828976, 0.815293, 0.806159, 0.800393", \ + "0.991252, 0.96394, 0.935823, 0.915266, 0.900562, 0.891293, 0.885272", \ + "1.18911, 1.16154, 1.13129, 1.10929, 1.09371, 1.08467, 1.07786", \ + "1.61072, 1.57999, 1.54769, 1.52234, 1.50514, 1.49336, 1.48784", \ + "2.47467, 2.44136, 2.40262, 2.36929, 2.34637, 2.33234, 2.32502" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("0.72, 1.44, 2.88, 5.76, 11.52, 23.04, 46.08"); + values ( \ + "0.88737, 0.858001, 0.825986, 0.801496, 0.785881, 0.775978, 0.769442", \ + "0.897731, 0.868646, 0.836478, 0.812052, 0.796545, 0.786515, 0.780048", \ + "0.930959, 0.901774, 0.869694, 0.845328, 0.830056, 0.820161, 0.813664", \ + "1.01553, 0.986168, 0.953847, 0.929311, 0.913678, 0.903682, 0.897283", \ + "1.20721, 1.178, 1.14491, 1.12019, 1.10348, 1.091, 1.08368", \ + "1.61865, 1.58766, 1.55223, 1.52986, 1.51988, 1.5266, 1.4927", \ + "2.4537, 2.41904, 2.38148, 2.34929, 2.33317, 2.31912, 2.39651" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.537754; + rise_capacitance : 0.537401; + rise_capacitance_range (0.444793, 0.537401); + fall_capacitance : 0.537754; + fall_capacitance_range (0.436825, 0.537754); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1416, 20.1416, 23.1934, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.572214, 0.580018, 0.608594, 0.681174, 0.852256, 1.21785, 1.96253" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.768279, 0.776382, 0.80381, 0.876361, 1.04836, 1.41322, 2.15754" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355068, 0.362273, 0.391259, 0.461181, 0.630082, 0.993996, 1.74328" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.506719, 0.515719, 0.548057, 0.629275, 0.813866, 1.20496, 2.00044" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.524129, 0.531634, 0.559523, 0.629939, 0.798972, 1.16278, 1.91167" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341894, 0.351612, 0.384724, 0.465392, 0.649481, 1.04056, 1.83632" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.391976, 0.398952, 0.428608, 0.497566, 0.666687, 1.03103, 1.7803" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.492273, 0.501366, 0.532007, 0.614945, 0.799824, 1.19055, 1.9865" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.520661, 0.527842, 0.556539, 0.625286, 0.794411, 1.15886, 1.90845" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344851, 0.354581, 0.385405, 0.467922, 0.653197, 1.04305, 1.83886" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.659638, 0.667553, 0.700042, 0.779746, 0.969736, 1.37129, 2.18975" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.74966, 0.758294, 0.790031, 0.86911, 1.0598, 1.46177, 2.2794" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580871, 0.588685, 0.617068, 0.689348, 0.859746, 1.2257, 1.96928" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.866171, 0.874007, 0.900851, 0.973485, 1.14444, 1.51076, 2.25282" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.35101, 0.358346, 0.387315, 0.457064, 0.625334, 0.989487, 1.73855" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.513336, 0.522295, 0.55467, 0.635901, 0.820504, 1.21159, 2.00711" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.526429, 0.53315, 0.561002, 0.631225, 0.798827, 1.16338, 1.91136" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344587, 0.354264, 0.387401, 0.4681, 0.652145, 1.04323, 1.83911" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580712, 0.588863, 0.61713, 0.689123, 0.859364, 1.22542, 1.96991" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.901009, 0.909109, 0.935851, 1.00809, 1.17917, 1.54525, 2.28854" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.351016, 0.35834, 0.387323, 0.457267, 0.625455, 0.989641, 1.73873" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.513511, 0.522353, 0.554819, 0.637393, 0.820932, 1.21172, 2.00723" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.527108, 0.533809, 0.56173, 0.631835, 0.800113, 1.16413, 1.91229" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344424, 0.354147, 0.387104, 0.469124, 0.652258, 1.04321, 1.83909" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.571533, 0.579958, 0.608092, 0.681087, 0.851674, 1.21824, 1.96302" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.767651, 0.775844, 0.803047, 0.876332, 1.04775, 1.41371, 2.15826" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.355393, 0.362285, 0.391307, 0.461129, 0.630106, 0.994024, 1.7427" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.506861, 0.515728, 0.548143, 0.630682, 0.814222, 1.20502, 2.00047" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.52449, 0.53164, 0.559617, 0.629811, 0.798866, 1.16279, 1.91055" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.341884, 0.351637, 0.384612, 0.466568, 0.649729, 1.04063, 1.83639" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.378072, 0.384269, 0.413055, 0.482937, 0.651923, 1.01608, 1.7662" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.492703, 0.501865, 0.53228, 0.615876, 0.799194, 1.19034, 1.98516" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514812, 0.5224, 0.550011, 0.619712, 0.789132, 1.15338, 1.90362" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.345196, 0.354631, 0.385572, 0.468979, 0.652459, 1.0428, 1.8378" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.602219, 0.6102, 0.643076, 0.723972, 0.916752, 1.32452, 2.1497" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.736053, 0.744887, 0.776619, 0.857636, 1.05093, 1.45875, 2.28395" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.387131, 0.394138, 0.423815, 0.492743, 0.661878, 1.02622, 1.7755" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.497666, 0.506965, 0.537275, 0.620271, 0.805197, 1.1952, 1.99186" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.510726, 0.517952, 0.546673, 0.615373, 0.784599, 1.149, 1.89863" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352346, 0.361878, 0.392806, 0.475379, 0.660576, 1.05006, 1.84629" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.655581, 0.663698, 0.69641, 0.775593, 0.965268, 1.36618, 2.18526" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.736416, 0.744516, 0.776473, 0.854522, 1.04448, 1.4468, 2.26553" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.646863; + rise_capacitance : 0.640169; + rise_capacitance_range (0.536478, 0.640169); + fall_capacitance : 0.646863; + fall_capacitance_range (0.577248, 0.646863); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.61845, 7.85313, 10.249, 12.4367, 14.5734, 21.5186, 32.6002", \ + "6.67614, 7.91081, 10.3067, 10.8073, 14.631, 21.5763, 32.6579", \ + "6.80532, 8.03999, 10.4359, 10.9365, 14.7602, 21.7055, 36.7846", \ + "4.30908, 8.35351, 10.7494, 12.5, 19.0712, 26.0165, 34.2383", \ + "7.96659, 9.20126, 11.5972, 12.0978, 19.919, 26.8642, 37.9458", \ + "10.545, 11.7796, 14.1755, 18.6736, 22.4974, 29.4426, 40.5242", \ + "19.2331, 20.4678, 22.8637, 24.5312, 31.1855, 38.1307, 49.2123" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.98633, -5.62076, -4.90067, -2.31689, -0.889144, 3.63553, 9.85962", \ + "-6.38826, -6.0227, -5.30261, -3.90657, -1.29108, 3.2336, 9.45769", \ + "-7.18239, -6.81683, -6.09674, -4.7007, -2.08521, 2.43947, 8.66356", \ + "-7.52441, -8.36613, -7.64604, -5, -3.63451, 0.890169, 8.24219", \ + "-7.67693, -7.31137, -6.59128, -5.19524, -6.57725, -2.05257, 4.17152", \ + "-12.939, -12.5734, -11.8534, -10.4573, -11.8393, -7.31465, -1.09056", \ + "-20.9695, -20.604, -19.8839, -21.3086, -19.8699, -19.3427, -13.1186" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.49707, 9.3537, 11.0347, 11.438, 16.0804, 25.3572, 34.3762", \ + "4.62781, 9.48444, 11.1654, 14.3785, 16.2112, 25.4879, 34.507", \ + "8.89887, 9.758, 11.439, 14.652, 16.4847, 25.7615, 34.7805", \ + "6.68457, 10.3535, 12.0345, 12.5, 17.0802, 26.3569, 36.5137", \ + "10.8785, 11.7377, 13.4187, 16.6317, 22.4619, 27.7411, 40.7577", \ + "14.4201, 15.2792, 16.9603, 20.1733, 26.0035, 31.2827, 44.2993", \ + "24.5961, 25.4552, 27.1362, 28.1723, 36.1794, 41.4587, 50.4777" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.88281, -0.588374, 0.00519486, -1.63818, 3.55801, 8.27215, 13.6243", \ + "-5.31242, -1.01798, -0.42441, 0.7615, 3.1284, 7.84254, 13.1947", \ + "-6.15305, -1.85861, -1.26504, -0.0791332, 2.28777, 7.00191, 12.354", \ + "-6.57227, -3.46557, -2.872, -4.45312, 0.680809, 5.39495, 11.8652", \ + "-6.67921, -6.38227, -5.7887, -4.60279, -2.23589, 2.47825, 7.83038", \ + "-11.3237, -11.0268, -10.4332, -9.24731, -6.88041, -6.16377, -0.811644", \ + "-15.8572, -15.5603, -18.9642, -16.6016, -15.4114, -14.6948, -9.34266" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.61845, 9.3537, 11.0347, 12.4367, 16.0804, 25.3572, 34.3762", \ + "6.67614, 9.48444, 11.1654, 14.3785, 16.2112, 25.4879, 34.507", \ + "8.89887, 9.758, 11.439, 14.652, 16.4847, 25.7615, 36.7846", \ + "6.68457, 10.3535, 12.0345, 12.5, 19.0712, 26.3569, 36.5137", \ + "10.8785, 11.7377, 13.4187, 16.6317, 22.4619, 27.7411, 40.7577", \ + "14.4201, 15.2792, 16.9603, 20.1733, 26.0035, 31.2827, 44.2993", \ + "24.5961, 25.4552, 27.1362, 28.1723, 36.1794, 41.4587, 50.4777" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.88281, -0.588374, 0.00519486, -1.63818, 3.55801, 8.27215, 13.6243", \ + "-5.31242, -1.01798, -0.42441, 0.7615, 3.1284, 7.84254, 13.1947", \ + "-6.15305, -1.85861, -1.26504, -0.0791332, 2.28777, 7.00191, 12.354", \ + "-6.57227, -3.46557, -2.872, -4.45312, 0.680809, 5.39495, 11.8652", \ + "-6.67921, -6.38227, -5.7887, -4.60279, -2.23589, 2.47825, 7.83038", \ + "-11.3237, -11.0268, -10.4332, -9.24731, -6.88041, -6.16377, -0.811644", \ + "-15.8572, -15.5603, -18.9642, -16.6016, -15.4114, -14.6948, -9.34266" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10934, 4.85264, 2.40121, 1.74381, -6.57415, -15.2452, -28.7103", \ + "6.7672, 5.51049, 3.05907, 2.40167, -5.91629, -14.5873, -28.0524", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.0775, 8.82079, 6.36936, 1.71447, -2.60599, -15.2745, -28.7396", \ + "13.8791, 12.6224, 10.171, 5.51606, -2.8019, -15.4704, -28.9355", \ + "16.3986, 15.1419, 12.6905, 5.15626, -4.27986, -16.9484, -34.411" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3071, 11.6129, 10.2641, 8.79639, 7.28326, 0.949352, -5.53101", \ + "12.3779, 11.6837, 10.335, 7.79665, 7.35408, 1.02017, -5.46019", \ + "12.5449, 11.8506, 10.5019, 7.96355, 7.52098, 5.18457, -5.29329", \ + "14.0503, 16.283, 14.9343, 9.53125, 7.95587, 5.61946, -3.73047", \ + "18.2513, 17.5571, 16.2083, 13.67, 13.2274, 6.89354, 0.413179", \ + "26.4142, 25.72, 24.3712, 21.8329, 17.3929, 15.0564, 8.57609", \ + "37.2165, 36.5222, 35.1735, 29.7559, 28.1951, 25.8587, 19.3784" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10934, 4.85264, 2.40121, -2.25369, -6.57415, -15.2452, -28.7103", \ + "6.7672, 5.51049, 3.05907, -1.59583, -5.91629, -14.5873, -28.0524", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.0775, 8.82079, 6.36936, 1.71447, -6.60349, -15.2745, -28.7396", \ + "13.8791, 12.6224, 10.171, 5.51606, -2.8019, -15.4704, -28.9355", \ + "16.3986, 15.1419, 12.6905, 5.15626, -4.27986, -16.9484, -34.411" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.03511, 8.41373, 7.19969, 5.9375, 4.71701, -1.77906, -7.41974", \ + "9.45822, 8.83684, 7.6228, 5.30959, 5.14012, -1.35595, -6.99663", \ + "10.2965, 9.67517, 8.46113, 6.14791, 5.97844, -0.517629, -6.15831", \ + "13.0043, 11.3202, 10.1062, 8.90625, 7.6235, 1.12743, -7.39258", \ + "15.1054, 14.484, 13.2699, 10.9567, 10.7873, 4.29119, -1.34948", \ + "24.925, 24.3036, 19.0921, 16.7788, 16.6094, 10.1133, 4.47262", \ + "34.5475, 33.9261, 32.7121, 27.5195, 26.2319, 19.7358, 14.0952" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10934, 4.85264, 2.40121, 1.74381, -6.57415, -15.2452, -28.7103", \ + "6.7672, 5.51049, 3.05907, 2.40167, -5.91629, -14.5873, -28.0524", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.0775, 8.82079, 6.36936, 1.71447, -2.60599, -15.2745, -28.7396", \ + "13.8791, 12.6224, 10.171, 5.51606, -2.8019, -15.4704, -28.9355", \ + "16.3986, 15.1419, 12.6905, 5.15626, -4.27986, -16.9484, -34.411" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3071, 11.6129, 10.2641, 8.79639, 7.28326, 0.949352, -5.53101", \ + "12.3779, 11.6837, 10.335, 7.79665, 7.35408, 1.02017, -5.46019", \ + "12.5449, 11.8506, 10.5019, 7.96355, 7.52098, 5.18457, -5.29329", \ + "14.0503, 16.283, 14.9343, 9.53125, 7.95587, 5.61946, -3.73047", \ + "18.2513, 17.5571, 16.2083, 13.67, 13.2274, 6.89354, 0.413179", \ + "26.4142, 25.72, 24.3712, 21.8329, 17.3929, 15.0564, 8.57609", \ + "37.2165, 36.5222, 35.1735, 29.7559, 28.1951, 25.8587, 19.3784" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0616431, -0.061736, -0.0616643, -0.0619026, -0.0620031, -0.0619768, -0.061554" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0716247, 0.0722707, 0.0724809, 0.0723213, 0.0724458, 0.0721871, 0.0719034" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0989102, 0.0991171, 0.0988331, 0.0995049, 0.0999299, 0.0994304, 0.0991514" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.089033, -0.089709, -0.0903062, -0.0894933, -0.0904078, -0.0896534, -0.0891731" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0622543, -0.0629119, -0.062482, -0.0633065, -0.0633792, -0.0627744, -0.0628234" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0826582, 0.0830892, 0.082673, 0.0836983, 0.0833749, 0.0829197, 0.0823045" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0542279, 0.0545178, 0.0542898, 0.0545942, 0.0545162, 0.0539001, 0.0535296" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0384789, -0.038786, -0.0383614, -0.0389153, -0.0386048, -0.0383265, -0.0380996" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.215109, 0.215645, 0.231526, 0.293057, 0.460894, 0.844189, 1.64893" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.583676, 0.580185, 0.58828, 0.643422, 0.810726, 1.20946, 2.03812" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.579137, 0.579631, 0.595049, 0.6559, 0.824636, 1.2079, 2.00899" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.214642, 0.211099, 0.220344, 0.274115, 0.442256, 0.839698, 1.66984" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218642, 0.220289, 0.238982, 0.304112, 0.475509, 0.862392, 1.66875" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.555755, 0.554211, 0.565759, 0.625344, 0.796644, 1.19878, 2.03448" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.563544, 0.565168, 0.583368, 0.648533, 0.820581, 1.20647, 2.00946" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.205606, 0.203386, 0.215648, 0.274695, 0.445502, 0.848058, 1.6839" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0628039, -0.0623882, -0.0635509, -0.0628125, -0.0627671, -0.0630533, -0.062387" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0703586, 0.0709324, 0.0708176, 0.0703055, 0.0710565, 0.0708927, 0.0708027" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0976792, 0.0974244, 0.0988377, 0.0981217, 0.0981072, 0.0983592, 0.0978773" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0901342, -0.0912391, -0.090575, -0.090242, -0.0915005, -0.0906802, -0.0903103" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0627596, -0.0627469, -0.0629982, -0.0631482, -0.0629117, -0.0627396, -0.0624321" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0708677, 0.0711689, 0.0712123, 0.0712985, 0.0716059, 0.0714629, 0.0712108" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0559341, 0.0559038, 0.0558985, 0.0560342, 0.0559713, 0.0556924, 0.0553342" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0559341, -0.0559038, -0.0558985, -0.0560342, -0.0559713, -0.0556924, -0.0553342" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0500365, -0.0535882, -0.0572001, -0.0587455, -0.060878, -0.060946, -0.0612384" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0779182, 0.0780491, 0.0787653, 0.0781628, 0.0785098, 0.0779123, 0.0779415" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103408, 0.100839, 0.0998134, 0.0987013, 0.0990796, 0.0976133, 0.0972815" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0829238, -0.08391, -0.085329, -0.0851033, -0.0861671, -0.0855401, -0.0850474" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.04762, -0.0517449, -0.0553772, -0.0579546, -0.0594958, -0.060583, -0.0607069" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0786361, 0.0786822, 0.0794921, 0.0790835, 0.079359, 0.0786883, 0.0786465" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103387, 0.10095, 0.0990988, 0.0986259, 0.0982943, 0.0976368, 0.0971282" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0854044, -0.0858336, -0.086938, -0.0866818, -0.0871312, -0.0861923, -0.0858115" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.61316; + rise_capacitance : 1.60773; + rise_capacitance_range (1.39587, 1.60773); + fall_capacitance : 1.61316; + fall_capacitance_range (1.35156, 1.61316); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.85742, -8.50564, -7.81154, -5.25391, -3.91179, 0.581664, 7.14722", \ + "-9.2242, -8.87242, -8.17832, -6.82796, -4.27856, 0.214888, 6.78045", \ + "-9.91795, -9.56617, -8.87207, -7.52171, -4.97231, -0.478862, 6.0867", \ + "-9.93896, -6.79696, -6.10286, -7.5, -6.20061, -1.70716, 5.98633", \ + "-10.4187, -10.0669, -9.37283, -8.02246, -5.47307, -0.979617, 5.58594", \ + "-8.96363, -8.61185, -7.91775, -6.56738, -4.01799, 0.47546, 7.04102", \ + "-6.05347, -5.70169, -5.0076, -2.48047, -1.10784, 3.38561, 13.9487" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.60053, 5.7023, 7.84289, 9.0625, 14.9262, 21.0027, 33.0295", \ + "4.0215, 5.12327, 7.26386, 7.29573, 14.3472, 20.4236, 32.4505", \ + "2.88949, 3.99126, 2.13435, 6.16372, 13.2152, 19.2916, 31.3185", \ + "-2.09961, 1.83145, -0.0254606, 5.23438, 11.0554, 17.1318, 26.2891", \ + "-3.17315, -2.07138, 0.0692101, 0.101077, 7.15256, 13.229, 25.2558", \ + "-9.31161, -8.20984, -6.06925, -2.03988, 1.0141, 11.088, 19.1173", \ + "-14.9197, -13.818, -11.6774, -10.4785, -4.59403, 1.48241, 13.5092" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.58667, 5.69058, 7.83541, 13.873, 14.9428, 25.045, 37.1157", \ + "4.88784, 5.99176, 8.13658, 12.1742, 15.2439, 25.3462, 37.4168", \ + "5.50737, 6.61129, 8.75611, 12.7937, 19.861, 25.9657, 38.0364", \ + "7.96387, 11.9166, 14.0614, 15.3125, 21.1688, 27.2735, 36.4649", \ + "13.7033, 14.8072, 16.9521, 20.9897, 24.0594, 30.1642, 42.2348", \ + "20.5846, 21.6885, 23.8333, 27.871, 30.9407, 41.0429, 53.1136", \ + "38.7472, 39.8512, 41.996, 43.2031, 49.1034, 59.2056, 67.2787" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.61084, -3.2506, -2.53583, 0.0585943, 1.59274, 6.67065, 15.363", \ + "-4.34843, -3.98818, -3.27341, -1.86674, 0.855149, 5.93306, 14.6255", \ + "-5.81756, -5.45732, -0.745049, -3.33587, -0.613988, 4.46393, 13.1563", \ + "-7.52441, -4.37395, -3.65918, -5, 0.469386, 1.5498, 12.2422", \ + "-10.4658, -10.1056, -9.39083, -7.98416, -5.26227, -4.18186, 4.51053", \ + "-21.5428, -21.1825, -20.4678, -19.0611, -20.3367, -15.2588, -10.5639", \ + "-42.1512, -41.7909, -41.0762, -42.4902, -40.9451, -39.8647, -35.1698" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.58667, 5.69058, 7.83541, 13.873, 14.9428, 25.045, 37.1157", \ + "4.88784, 5.99176, 8.13658, 12.1742, 15.2439, 25.3462, 37.4168", \ + "5.50737, 6.61129, 8.75611, 12.7937, 19.861, 25.9657, 38.0364", \ + "7.96387, 11.9166, 14.0614, 15.3125, 21.1688, 27.2735, 36.4649", \ + "13.7033, 14.8072, 16.9521, 20.9897, 24.0594, 30.1642, 42.2348", \ + "20.5846, 21.6885, 23.8333, 27.871, 30.9407, 41.0429, 53.1136", \ + "38.7472, 39.8512, 41.996, 43.2031, 49.1034, 59.2056, 67.2787" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.60053, 5.7023, 7.84289, 9.0625, 14.9262, 21.0027, 33.0295", \ + "4.0215, 5.12327, 7.26386, 7.29573, 14.3472, 20.4236, 32.4505", \ + "2.88949, 3.99126, 2.13435, 6.16372, 13.2152, 19.2916, 31.3185", \ + "-2.09961, 1.83145, -0.0254606, 5.23438, 11.0554, 17.1318, 26.2891", \ + "-3.17315, -2.07138, 0.0692101, 0.101077, 7.15256, 13.229, 25.2558", \ + "-9.31161, -8.20984, -6.06925, -2.03988, 1.0141, 11.088, 19.1173", \ + "-14.9197, -13.818, -11.6774, -10.4785, -4.59403, 1.48241, 13.5092" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.7612, 16.0864, 14.775, 9.39697, 7.9724, 5.74268, -0.962223", \ + "17.1336, 16.4589, 15.1474, 12.6769, 8.34487, 6.11516, -0.58975", \ + "17.8429, 17.1681, 15.8567, 13.3861, 9.05408, 6.82437, 0.119462", \ + "16.1914, 14.4461, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, -2.91016", \ + "18.1955, 17.5207, 16.2093, 13.7387, 9.40673, 7.17701, -3.52539", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.2671, 10.1309, 7.91586, 0.938106, -3.77235, -11.0864, -27.0554", \ + "11.8857, 10.7496, 8.53448, 4.33322, -3.15373, -10.4678, -26.4367", \ + "13.0972, 11.961, 9.74596, 5.5447, -1.94224, -9.25633, -21.2278", \ + "12.4707, 14.281, 12.0659, 5, 0.377741, -6.93634, -21.7773", \ + "15.6477, 14.5115, 12.2965, 8.09521, 4.60576, -6.70582, -18.6773", \ + "22.4559, 21.3198, 19.1047, 14.9034, 7.4165, 0.102414, -11.869", \ + "33.4787, 28.3451, 26.13, 23.0469, 18.4393, 7.12769, -4.84375" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.44209, 3.24289, 0.906008, -2.4707, -7.39558, -15.2043, -27.0682", \ + "4.14617, 2.94698, 0.610095, -3.81764, -7.69149, -15.5002, -27.3641", \ + "3.55816, 2.35897, 0.0220847, -0.408152, -8.2795, -16.0882, -27.9521", \ + "3.50905, 5.19571, 2.85883, -4.45312, -9.44026, -17.249, -31.9922", \ + "4.13447, 2.93527, 0.598388, -3.82935, -11.7007, -19.5094, -35.3708", \ + "-0.142156, -1.34135, -3.67824, -8.10597, -15.9773, -23.786, -39.6474", \ + "-11.7159, -12.9151, -15.2519, -17.6797, -27.551, -39.3572, -55.2186" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.166, 9.47176, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "10.5323, 9.83805, 8.48932, 5.95101, 5.50843, -0.825472, -7.30584", \ + "11.3006, 10.6063, 9.25757, 6.71925, 6.27668, -0.0572231, -6.53759", \ + "14.0503, 16.283, 10.9368, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.9063, 20.2121, 18.8634, 16.325, 11.885, 9.54856, 3.0682", \ + "35.045, 34.3507, 33.002, 30.4637, 26.0236, 19.6897, 17.2069", \ + "60.4616, 55.7699, 54.4211, 53.8828, 47.4427, 45.1063, 38.626" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.7612, 16.0864, 14.775, 9.39697, 7.9724, 5.74268, -0.962223", \ + "17.1336, 16.4589, 15.1474, 12.6769, 8.34487, 6.11516, -0.58975", \ + "17.8429, 17.1681, 15.8567, 13.3861, 9.05408, 6.82437, 0.119462", \ + "16.1914, 14.4461, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, -2.91016", \ + "18.1955, 17.5207, 16.2093, 13.7387, 9.40673, 7.17701, -3.52539", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.2671, 10.1309, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "11.8857, 10.7496, 8.53448, 5.95101, 5.50843, -0.825472, -7.30584", \ + "13.0972, 11.961, 9.74596, 6.71925, 6.27668, -0.0572231, -6.53759", \ + "14.0503, 16.283, 12.0659, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.9063, 20.2121, 18.8634, 16.325, 11.885, 9.54856, 3.0682", \ + "35.045, 34.3507, 33.002, 30.4637, 26.0236, 19.6897, 17.2069", \ + "60.4616, 55.7699, 54.4211, 53.8828, 47.4427, 45.1063, 38.626" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0976732, 0.111616, 0.156244, 0.275478, 0.574101, 1.22893, 2.5975" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.48955, 0.51203, 0.587736, 0.758415, 1.11573, 1.8298, 3.25252" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.452417, 0.464837, 0.510153, 0.632829, 0.93004, 1.58516, 2.95251" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.128163, 0.150488, 0.22673, 0.397744, 0.752666, 1.46631, 2.88685" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.508608, 0.521268, 0.563825, 0.670775, 0.912567, 1.4273, 2.49958" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.661548, 0.674471, 0.72163, 0.844198, 1.10966, 1.66761, 2.81113" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.722769, 0.736196, 0.778445, 0.885633, 1.12741, 1.6438, 2.7154" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.443193, 0.456855, 0.503413, 0.625029, 0.88982, 1.44688, 2.59216" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.222423, 0.237817, 0.29761, 0.4713, 0.882914, 1.77251, 3.60062" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.796373, 0.817506, 0.8898, 1.08887, 1.55097, 2.53377, 4.52181" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.785244, 0.801821, 0.861831, 1.03532, 1.44841, 2.33774, 4.16422" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.231977, 0.252942, 0.325347, 0.523559, 0.986174, 1.967, 3.95727" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0488451, -0.035275, 0.00757199, 0.114375, 0.350843, 0.847188, 1.86106" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402769, 0.420617, 0.474059, 0.596384, 0.852076, 1.38195, 2.45043" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.401578, 0.414482, 0.456568, 0.566474, 0.803527, 1.29851, 2.31189" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0450288, -0.0269783, 0.0253147, 0.145555, 0.403589, 0.930854, 2.00023" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0143053, -0.00584599, 0.0327022, 0.136741, 0.373811, 0.869611, 1.8843" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402515, 0.415641, 0.461102, 0.577133, 0.830379, 1.35737, 2.42251" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.416881, 0.425372, 0.466353, 0.571633, 0.810297, 1.30571, 2.31838" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0485769, -0.0324778, 0.0171218, 0.137792, 0.394461, 0.921439, 1.98972" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0499409, -0.0365211, 0.00638208, 0.113377, 0.350625, 0.847096, 1.8607" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.397589, 0.415963, 0.469474, 0.590696, 0.850063, 1.37883, 2.44671" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.399438, 0.413085, 0.45735, 0.564613, 0.800875, 1.29806, 2.31095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0488106, -0.0321417, 0.0216818, 0.142181, 0.399171, 0.927704, 1.99646" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.688494; + rise_capacitance : 0.682555; + rise_capacitance_range (0.559437, 0.682555); + fall_capacitance : 0.688494; + fall_capacitance_range (0.58068, 0.688494); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.30707, 6.42804, 8.60828, 9.87305, 15.9641, 22.496, 35.7502", \ + "5.66681, 6.78778, 8.96801, 13.0816, 16.3238, 22.8558, 36.1099", \ + "6.37396, 7.49493, 9.67516, 9.79125, 17.0309, 23.5629, 36.8171", \ + "4.90967, 8.85993, 7.04266, 12.1562, 18.3959, 24.9279, 35.3125", \ + "6.27425, 7.39523, 9.57546, 13.689, 16.9312, 27.4607, 36.7174", \ + "10.551, 11.672, 13.8523, 17.9658, 21.208, 31.7375, 40.9942", \ + "19.947, 21.0679, 23.2482, 24.5312, 30.604, 37.1359, 50.3901" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.98633, -1.62326, -0.903174, -2.31689, -0.889144, 3.63553, 13.8571", \ + "-6.36825, -2.00519, -1.2851, -3.88656, -1.27107, 3.25361, 13.4752", \ + "-7.14237, -6.7768, -6.05671, -4.66068, -2.04518, 2.47949, 12.7011", \ + "-7.52441, -8.36613, -7.64604, -5, -3.63451, 0.890169, 8.24219", \ + "-12.0747, -11.7091, -10.989, -9.593, -6.97751, -2.45283, 3.77126", \ + "-19.4181, -19.0525, -18.3325, -16.9364, -14.3209, -13.7937, -7.56966", \ + "-32.7371, -32.3716, -31.6515, -33.0762, -31.6374, -27.1128, -24.8862" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.00605, 9.08636, 7.1923, 12.4678, 18.2116, 24.6363, 34.8374", \ + "8.06144, 9.14176, 11.2452, 11.2258, 18.267, 24.6917, 34.8928", \ + "8.18959, 9.26991, 11.3733, 11.354, 18.3951, 24.8198, 35.021", \ + "5.6665, 9.59564, 11.6991, 12.8906, 18.7209, 25.1456, 36.4649", \ + "9.4445, 10.5248, 12.6283, 16.6064, 19.6501, 26.0747, 40.2734", \ + "12.4138, 13.4941, 15.5975, 19.5756, 26.6168, 33.0415, 43.2426", \ + "22.7958, 23.8761, 25.9796, 27.3891, 33.0014, 39.426, 53.6247" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.00982, -0.323656, 1.01071, 0.698243, 3.95608, 10.3778, 17.4973", \ + "-1.40776, -0.721589, 0.612773, -0.867903, 3.55815, 9.97988, 17.0993", \ + "-2.19893, -1.51276, -0.178401, -1.65908, 2.76698, 5.19121, 16.3082", \ + "-6.57227, -3.07634, -1.74198, -1.99219, 1.2034, 3.62763, 11.8652", \ + "-6.8146, -6.12843, -4.79407, -6.27475, -1.84869, 0.575538, 7.69498", \ + "-12.6185, -11.9323, -10.598, -12.0787, -7.6526, -5.22837, 1.89108", \ + "-23.0252, -22.339, -21.0047, -21.3086, -18.0593, -15.6351, -12.5131" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.00605, 9.08636, 8.60828, 12.4678, 18.2116, 24.6363, 35.7502", \ + "8.06144, 9.14176, 11.2452, 13.0816, 18.267, 24.6917, 36.1099", \ + "8.18959, 9.26991, 11.3733, 11.354, 18.3951, 24.8198, 36.8171", \ + "5.6665, 9.59564, 11.6991, 12.8906, 18.7209, 25.1456, 36.4649", \ + "9.4445, 10.5248, 12.6283, 16.6064, 19.6501, 27.4607, 40.2734", \ + "12.4138, 13.4941, 15.5975, 19.5756, 26.6168, 33.0415, 43.2426", \ + "22.7958, 23.8761, 25.9796, 27.3891, 33.0014, 39.426, 53.6247" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.00982, -0.323656, 1.01071, 0.698243, 3.95608, 10.3778, 17.4973", \ + "-1.40776, -0.721589, 0.612773, -0.867903, 3.55815, 9.97988, 17.0993", \ + "-2.19893, -1.51276, -0.178401, -1.65908, 2.76698, 5.19121, 16.3082", \ + "-6.57227, -3.07634, -1.74198, -1.99219, 1.2034, 3.62763, 11.8652", \ + "-6.8146, -6.12843, -4.79407, -6.27475, -1.84869, 0.575538, 7.69498", \ + "-12.6185, -11.9323, -10.598, -12.0787, -7.6526, -5.22837, 1.89108", \ + "-23.0252, -22.339, -21.0047, -21.3086, -18.0593, -15.6351, -12.5131" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.46628, 5.579, 3.83593, -2.4707, -5.7407, -16.1582, -28.9315", \ + "6.97832, 6.09105, 4.34798, 0.98782, -5.22865, -15.6461, -28.4194", \ + "7.95553, 7.06825, 5.32519, 1.96503, -4.25144, -14.6689, -27.4422", \ + "7.38726, 8.8351, 3.09454, 1, -6.48209, -16.8996, -28.5449", \ + "9.50475, 8.61747, 6.8744, 3.51424, -6.69973, -13.1197, -29.8905", \ + "9.06948, 8.1822, 6.43914, 3.07898, -7.13499, -13.555, -30.3258", \ + "8.19895, 7.31167, 1.57111, 0.210943, -8.00552, -18.423, -35.1938" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "10.782, 10.1111, 8.80345, 10.3227, 5.91397, 3.28526, -5.19978", \ + "12.2481, 11.5771, 10.2695, 7.79128, 7.38007, 4.75136, -3.73368", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6288, 19.9579, 18.6503, 16.172, 15.7608, 9.13457, 0.64953", \ + "30.6941, 30.0232, 28.7156, 26.2374, 21.8286, 19.1999, 10.7149", \ + "51.0203, 46.3519, 45.0443, 44.1946, 38.1573, 35.5286, 31.0411" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.87451, 2.76639, 0.601664, -2.4707, -6.94677, -18.4949, -28.4031", \ + "4.24959, 3.14147, 0.976738, -3.14665, -6.57169, -18.1198, -28.0281", \ + "4.96873, 3.8606, 1.69588, -2.42752, -5.85256, -17.4007, -31.3064", \ + "7.31445, 5.17484, 3.01011, 0, -8.53582, -16.0864, -27.9922", \ + "10.4281, 9.31994, 7.15521, 3.03182, -4.39072, -15.9388, -29.8446", \ + "10.7233, 9.61514, 7.45042, 3.32702, -4.09552, -15.6436, -29.5494", \ + "11.3137, 10.2055, 8.04082, 1.15626, -7.50261, -19.0507, -36.954" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.0459, 6.40308, 5.15147, 3.83545, 2.59244, -3.60807, -11.2927", \ + "7.43813, 6.79531, 5.54371, 7.17416, 2.98467, -3.21584, -10.9005", \ + "8.2278, 7.58498, 6.33337, 7.96382, 3.77433, -2.42617, -10.1108", \ + "10.8789, 13.1826, 7.93346, 6.67969, 5.37442, -0.82609, -7.39258", \ + "17.1086, 16.4658, 15.2142, 12.8471, 8.65763, 2.45712, -1.23004", \ + "24.0072, 23.3644, 22.1128, 19.7457, 15.5562, 13.3532, 5.66855", \ + "39.133, 34.4927, 33.2411, 31.9922, 26.6845, 24.4815, 16.7969" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.46628, 5.579, 3.83593, -2.4707, -5.7407, -16.1582, -28.4031", \ + "6.97832, 6.09105, 4.34798, 0.98782, -5.22865, -15.6461, -28.0281", \ + "7.95553, 7.06825, 5.32519, 1.96503, -4.25144, -14.6689, -27.4422", \ + "7.38726, 8.8351, 3.09454, 1, -6.48209, -16.0864, -27.9922", \ + "10.4281, 9.31994, 7.15521, 3.51424, -4.39072, -13.1197, -29.8446", \ + "10.7233, 9.61514, 7.45042, 3.32702, -4.09552, -13.555, -29.5494", \ + "11.3137, 10.2055, 8.04082, 1.15626, -7.50261, -18.423, -35.1938" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "10.782, 10.1111, 8.80345, 10.3227, 5.91397, 3.28526, -5.19978", \ + "12.2481, 11.5771, 10.2695, 7.96382, 7.38007, 4.75136, -3.73368", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6288, 19.9579, 18.6503, 16.172, 15.7608, 9.13457, 0.64953", \ + "30.6941, 30.0232, 28.7156, 26.2374, 21.8286, 19.1999, 10.7149", \ + "51.0203, 46.3519, 45.0443, 44.1946, 38.1573, 35.5286, 31.0411" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.197074, 0.198657, 0.214712, 0.271278, 0.418303, 0.745169, 1.42309" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494638, 0.492344, 0.505029, 0.562867, 0.721422, 1.073, 1.7937" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.47222, 0.473658, 0.489481, 0.545798, 0.693782, 1.0207, 1.69667" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.218691, 0.215873, 0.229596, 0.286586, 0.445344, 0.797149, 1.51826" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00252197, 0.0022652, 0.00230915, 0.00226942, 0.00212247, 0.00205948, 0.00175081" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0109633, 0.0110644, 0.0111848, 0.011105, 0.0110387, 0.0109916, 0.0108861" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.126991, 0.127743, 0.127408, 0.12737, 0.127417, 0.126242, 0.123477" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.101379, -0.102024, -0.102989, -0.103224, -0.103134, -0.101983, -0.101488" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.192269, 0.196759, 0.218091, 0.285357, 0.451635, 0.813165, 1.5548" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.431886, 0.432568, 0.452096, 0.519437, 0.694058, 1.07723, 1.85397" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.423911, 0.428142, 0.448521, 0.516423, 0.683147, 1.04475, 1.78471" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.20013, 0.20024, 0.219463, 0.286737, 0.461384, 0.844168, 1.62193" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0753207, -0.0749912, -0.0765851, -0.0769424, -0.0771217, -0.0762162, -0.0763668" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0955588, 0.095637, 0.0959427, 0.0969134, 0.0968596, 0.0965039, 0.0960018" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.040954, 0.0406786, 0.0410205, 0.040933, 0.040774, 0.0403852, 0.0401158" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0287065, -0.0287055, -0.0287578, -0.0291942, -0.0287857, -0.0284918, -0.0282233" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0639527, -0.0681725, -0.0719419, -0.0737862, -0.0749179, -0.0748073, -0.0746673" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.087704, 0.088109, 0.088642, 0.0882174, 0.0882144, 0.0888084, 0.0884688" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104704, 0.101152, 0.0991107, 0.0978237, 0.0969489, 0.0955736, 0.0948666" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0809691, -0.0823343, -0.0830886, -0.0829625, -0.0828398, -0.0828005, -0.0823823" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0105696, -0.010653, -0.0105623, -0.0107426, -0.0106892, -0.0105428, -0.010281" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0178374, 0.0178812, 0.0179642, 0.0180426, 0.0180049, 0.0179838, 0.01794" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106728, 0.107603, 0.106788, 0.107762, 0.108056, 0.107328, 0.106554" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0971301, -0.0982051, -0.0990277, -0.0990118, -0.0988449, -0.0980438, -0.0973346" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.065248, -0.0693685, -0.071811, -0.0738399, -0.0738301, -0.0744451, -0.0744714" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0878096, 0.0879264, 0.0877866, 0.0883199, 0.0890516, 0.0887591, 0.0884901" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.10281, 0.100418, 0.0984815, 0.0977529, 0.096064, 0.0955263, 0.0947992" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0831746, -0.0835024, -0.0835353, -0.0839717, -0.0840779, -0.0833704, -0.0830303" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0763511, -0.0777853, -0.0780133, -0.0778019, -0.077579, -0.077584, -0.0774584" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0853769, 0.0859307, 0.0862343, 0.0861962, 0.0868485, 0.0865984, 0.0864328" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0452831, 0.0453825, 0.0450913, 0.0452494, 0.0449414, 0.0446601, 0.0444054" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0452831, -0.0453825, -0.0450913, -0.0452494, -0.0449414, -0.0446601, -0.0444054" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx2_ASAP7_75t_SL) { + area : 0.37908; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 25784.1; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21765.3; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25467.6; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25839.2; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26584.4; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22565.6; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26635.7; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22616.9; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25782.5; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21763.7; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23110.3; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23481.9; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26393.8; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26765.5; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24037; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24408.7; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17451.6; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22159.3; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19236.9; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20236.6; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18378.4; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23086.2; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 18375.3; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23083.4; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 17448.9; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22156.5; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19234.5; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 19969.3; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20163.7; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21163.3; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20161.3; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20896.2; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22381.4; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "30.0501, 32.4593, 36.6356, 43.9101, 56.8994, 81.359, 129.487", \ + "31.1864, 33.5943, 37.7725, 45.0457, 58.0339, 82.4944, 130.623", \ + "33.2509, 35.6577, 39.8341, 47.1067, 60.0954, 84.5546, 132.684", \ + "36.7393, 39.14, 43.3114, 50.5755, 63.5589, 88.0129, 136.138", \ + "42.2392, 44.6459, 48.8036, 56.066, 69.0674, 93.5052, 141.625", \ + "51.0183, 53.4223, 57.5846, 64.8429, 77.8199, 102.304, 150.533", \ + "64.8054, 67.2066, 71.3729, 78.6328, 91.5996, 116.093, 164.239" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.4123, 18.4927, 24.7229, 37.6946, 64.263, 118.719, 229.901", \ + "15.4113, 18.4913, 24.719, 37.6979, 64.2625, 118.719, 229.902", \ + "15.3974, 18.4804, 24.7119, 37.6902, 64.2564, 118.717, 229.901", \ + "15.4362, 18.5463, 24.771, 37.715, 64.2904, 118.729, 229.906", \ + "15.4821, 18.5694, 24.9685, 37.7542, 64.3454, 118.737, 229.923", \ + "15.7618, 18.842, 25.0474, 37.9636, 64.4709, 119.413, 230.097", \ + "16.4687, 19.5232, 25.6501, 38.5939, 64.8597, 119.193, 231.958" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "29.3739, 31.9306, 36.339, 43.6411, 56.1822, 79.1075, 123.192", \ + "30.5144, 33.0718, 37.4806, 44.7811, 57.3406, 80.2485, 124.334", \ + "32.6122, 35.1702, 39.5778, 46.8805, 59.4378, 82.3473, 126.433", \ + "35.9287, 38.4758, 42.8733, 50.174, 62.7141, 85.6379, 129.724", \ + "41.3676, 43.9199, 48.3196, 55.6037, 68.1594, 91.0335, 135.155", \ + "50.1092, 52.6633, 57.0659, 64.3646, 76.8855, 99.7647, 143.884", \ + "63.7031, 66.2608, 70.6775, 77.9746, 90.4618, 113.276, 157.309" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "15.0506, 17.9959, 23.7134, 35.2763, 58.6468, 105.985, 202.827", \ + "15.0471, 17.9953, 23.7081, 35.273, 58.6517, 105.977, 202.826", \ + "15.0317, 17.983, 23.697, 35.2579, 58.6332, 105.979, 202.825", \ + "15.0041, 17.9646, 23.6802, 35.2511, 58.6467, 105.961, 202.823", \ + "14.9715, 17.9663, 23.6919, 35.2662, 58.6118, 105.965, 202.817", \ + "15.2371, 18.1926, 23.8857, 35.4319, 58.8456, 106.19, 202.835", \ + "16.0483, 18.9481, 24.5318, 35.8787, 58.9946, 106.113, 203.483" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.49368, 1.40734, 1.30109, 1.21169, 1.1512, 1.11058, 1.08419", \ + "1.5033, 1.41668, 1.31107, 1.22176, 1.16076, 1.12018, 1.09387", \ + "1.53259, 1.44604, 1.34044, 1.25101, 1.1901, 1.1496, 1.12342", \ + "1.61844, 1.53159, 1.42418, 1.33409, 1.27089, 1.22988, 1.20313", \ + "1.81143, 1.72462, 1.62253, 1.5272, 1.46421, 1.41996, 1.3906", \ + "2.23401, 2.14519, 2.0357, 1.9428, 1.88446, 1.87837, 1.81228", \ + "3.10502, 3.01321, 2.89779, 2.80208, 2.71722, 2.69185, 2.76946" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.62218, 1.53241, 1.41746, 1.31179, 1.24189, 1.19827, 1.17085", \ + "1.6316, 1.54189, 1.42698, 1.32134, 1.2513, 1.2078, 1.18041", \ + "1.66248, 1.57347, 1.4582, 1.35275, 1.28314, 1.23971, 1.21235", \ + "1.74489, 1.65667, 1.53973, 1.43731, 1.3679, 1.32394, 1.29637", \ + "1.93227, 1.84333, 1.72799, 1.62314, 1.55158, 1.5093, 1.48185", \ + "2.34803, 2.25699, 2.13885, 2.03037, 1.95724, 1.91247, 1.88443", \ + "3.2093, 3.11475, 2.98636, 2.86886, 2.78676, 2.73625, 2.70572" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.35215, 1.26581, 1.15959, 1.07023, 1.00978, 0.969243, 0.942939", \ + "1.36229, 1.27561, 1.16996, 1.08063, 1.01966, 0.979125, 0.952903", \ + "1.39294, 1.30637, 1.20075, 1.11126, 1.05032, 1.00982, 0.983743", \ + "1.48004, 1.39287, 1.28642, 1.19541, 1.13546, 1.09338, 1.06686", \ + "1.67204, 1.58556, 1.47712, 1.38608, 1.32356, 1.28144, 1.25507", \ + "2.09344, 2.00432, 1.8931, 1.79722, 1.73009, 1.6867, 1.65814", \ + "2.96401, 2.87273, 2.75526, 2.65013, 2.57355, 2.52342, 2.49129" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "1.44885, 1.35909, 1.24417, 1.13852, 1.0686, 1.02496, 0.997347", \ + "1.45896, 1.36929, 1.25442, 1.14881, 1.07884, 1.03528, 1.00772", \ + "1.491, 1.40199, 1.28673, 1.1813, 1.11168, 1.06822, 1.04069", \ + "1.57309, 1.48349, 1.36876, 1.26171, 1.18979, 1.14609, 1.11801", \ + "1.7612, 1.672, 1.55965, 1.44976, 1.38092, 1.33543, 1.30811", \ + "2.17633, 2.08514, 1.96728, 1.86406, 1.80232, 1.74302, 1.71213", \ + "3.03728, 2.94287, 2.8145, 2.69574, 2.61373, 2.56672, 2.56351" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.538118; + rise_capacitance : 0.537753; + rise_capacitance_range (0.445055, 0.537753); + fall_capacitance : 0.538118; + fall_capacitance_range (0.436527, 0.538118); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8626, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "21.9727, 21.9727, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "25.6348, 25.6348, 25.6348, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573817, 0.582199, 0.609885, 0.682943, 0.853394, 1.21942, 1.96362" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.770043, 0.778123, 0.805216, 0.878266, 1.0496, 1.41535, 2.15872" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.35655, 0.363691, 0.392518, 0.462501, 0.631038, 0.994647, 1.74373" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.507178, 0.516483, 0.548763, 0.629696, 0.814309, 1.20537, 2.00079" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525127, 0.533136, 0.560894, 0.631221, 0.800002, 1.16353, 1.91206" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.343192, 0.352246, 0.385326, 0.465862, 0.649827, 1.04087, 1.83658" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.394067, 0.400508, 0.430047, 0.497273, 0.66813, 1.03217, 1.78113" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.493876, 0.502519, 0.532851, 0.615747, 0.800517, 1.19124, 1.98722" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.522719, 0.529496, 0.558098, 0.624864, 0.795875, 1.1601, 1.90924" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346493, 0.355193, 0.386168, 0.468621, 0.653715, 1.04363, 1.83949" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.660884, 0.668976, 0.701294, 0.780836, 0.970495, 1.37245, 2.192" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.751679, 0.759819, 0.791373, 0.870354, 1.06067, 1.46302, 2.28227" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.582457, 0.59023, 0.618358, 0.690431, 0.860959, 1.22551, 1.97059" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.867861, 0.87547, 0.902183, 0.974443, 1.14566, 1.50986, 2.25409" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352657, 0.359759, 0.388572, 0.458303, 0.626358, 0.990305, 1.73963" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514758, 0.523061, 0.555382, 0.636326, 0.820952, 1.212, 2.00747" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.527123, 0.534656, 0.562379, 0.632562, 0.799864, 1.1643, 1.9127" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346349, 0.354896, 0.388003, 0.46857, 0.652493, 1.04354, 1.83937" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.582084, 0.590451, 0.618441, 0.690375, 0.860354, 1.22615, 1.97115" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.90278, 0.910776, 0.937221, 1.00941, 1.17989, 1.54584, 2.28983" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.352756, 0.359754, 0.388585, 0.45847, 0.626423, 0.990318, 1.73976" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514851, 0.523123, 0.555528, 0.637898, 0.821372, 1.21213, 2.00759" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.528116, 0.535316, 0.563116, 0.633147, 0.80108, 1.1649, 1.91344" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346013, 0.354783, 0.387703, 0.469536, 0.6526, 1.04352, 1.83935" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.573226, 0.581434, 0.60941, 0.68234, 0.852891, 1.21918, 1.96433" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.768981, 0.777417, 0.804429, 0.877653, 1.04902, 1.41496, 2.15962" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.356521, 0.363703, 0.392571, 0.462302, 0.6311, 0.994735, 1.74362" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.507787, 0.516503, 0.548848, 0.631189, 0.814657, 1.20542, 2.00081" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525155, 0.533142, 0.560993, 0.630989, 0.79964, 1.16357, 1.91157" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.344005, 0.352285, 0.385213, 0.466988, 0.650073, 1.04094, 1.83665" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.379429, 0.385827, 0.414498, 0.484583, 0.653233, 1.01723, 1.76749" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494141, 0.502775, 0.533125, 0.615566, 0.800916, 1.19097, 1.98585" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.517318, 0.524054, 0.551555, 0.621338, 0.790227, 1.15467, 1.90503" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346488, 0.355468, 0.386331, 0.469139, 0.654129, 1.04335, 1.83841" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.603363, 0.61153, 0.644201, 0.724977, 0.917518, 1.32602, 2.15279" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.737941, 0.746314, 0.777883, 0.858625, 1.05179, 1.46033, 2.28714" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.389229, 0.395689, 0.42525, 0.493723, 0.66291, 1.02735, 1.77635" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.499099, 0.507813, 0.538123, 0.621074, 0.805789, 1.19655, 1.99258" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.512798, 0.5196, 0.548228, 0.616447, 0.785746, 1.1501, 1.89945" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.353577, 0.362668, 0.39357, 0.476079, 0.661271, 1.05106, 1.84692" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.657144, 0.665133, 0.697676, 0.775525, 0.966122, 1.36815, 2.18769" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.73802, 0.746044, 0.777837, 0.855378, 1.04541, 1.44881, 2.26823" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.64705; + rise_capacitance : 0.640257; + rise_capacitance_range (0.536604, 0.640257); + fall_capacitance : 0.64705; + fall_capacitance_range (0.577416, 0.64705); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.20898, 5.1316, 6.93191, 11.5991, 16.4756, 21.848, 33.0823", \ + "4.2116, 5.13422, 6.93452, 10.3554, 16.4782, 21.8506, 33.0849", \ + "4.21683, 5.13945, 6.93976, 10.3606, 16.4834, 21.8558, 33.0901", \ + "5.49316, 9.14741, 10.9477, 11.6797, 16.4939, 25.8638, 34.2383", \ + "8.7365, 9.65912, 11.4594, 14.8803, 17.0056, 26.3755, 37.6098", \ + "11.3304, 12.253, 14.0533, 17.4742, 23.597, 28.9694, 40.2037", \ + "18.8028, 23.7229, 25.5232, 26.69, 31.0694, 40.4393, 51.6736" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.06646, -3.64874, -2.828, -3.97705, 1.68417, 2.60356, 12.6657", \ + "-4.2831, -3.86537, -3.04464, -1.46206, 1.46753, 2.38693, 12.4491", \ + "-4.72173, -4.304, -3.48327, -1.90069, 1.0289, 1.94829, 12.0105", \ + "-8.33252, -5.20269, -4.38195, -5.46875, -3.86729, 1.04961, 8.24219", \ + "-7.50349, -7.08576, -6.26503, -8.67995, -5.75036, -0.833464, 5.23119", \ + "-15.6099, -15.1922, -14.3715, -12.7889, -9.8593, -8.9399, -2.87525", \ + "-21.2015, -20.7838, -19.963, -21.1621, -19.4483, -18.529, -12.4643" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.12103, 9.05761, 10.8841, 11.5991, 16.5384, 25.9222, 36.738", \ + "8.50178, 9.43835, 11.2648, 10.7335, 16.9191, 22.3055, 37.1187", \ + "9.25902, 10.1956, 12.0221, 11.4908, 17.6764, 23.0627, 37.876", \ + "8.0249, 7.6956, 9.52207, 14.2969, 19.1739, 24.5602, 36.5137", \ + "9.68616, 10.6227, 12.4492, 15.9154, 22.101, 27.4873, 38.3031", \ + "15.2688, 16.2054, 18.0319, 21.4981, 27.6837, 33.07, 43.8858", \ + "25.3479, 26.2845, 28.1109, 32.7734, 37.7627, 43.1491, 53.9648" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.22607, -4.0353, 0.35126, -1.57227, 2.89556, 6.84784, 16.6721", \ + "-4.50807, -4.31729, -3.92824, 0.87737, 2.61356, 6.56585, 16.3901", \ + "-5.06542, -4.87464, -4.48559, 0.320019, 2.05621, 6.0085, 15.8327", \ + "-4.8877, -5.96279, -5.57373, -3.4375, 0.968065, 4.92035, 11.8652", \ + "-8.22361, -8.03283, -7.64378, -6.83567, -1.10198, -1.14719, 8.67703", \ + "-11.9387, -11.748, -11.3589, -10.5508, -8.81462, -4.86233, 0.964391", \ + "-17.6692, -17.4784, -17.0894, -14.2813, -14.5451, -14.5903, -8.76355" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "8.12103, 9.05761, 10.8841, 11.5991, 16.5384, 25.9222, 36.738", \ + "8.50178, 9.43835, 11.2648, 10.7335, 16.9191, 22.3055, 37.1187", \ + "9.25902, 10.1956, 12.0221, 11.4908, 17.6764, 23.0627, 37.876", \ + "8.0249, 9.14741, 10.9477, 14.2969, 19.1739, 25.8638, 36.5137", \ + "9.68616, 10.6227, 12.4492, 15.9154, 22.101, 27.4873, 38.3031", \ + "15.2688, 16.2054, 18.0319, 21.4981, 27.6837, 33.07, 43.8858", \ + "25.3479, 26.2845, 28.1109, 32.7734, 37.7627, 43.1491, 53.9648" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.06646, -3.64874, 0.35126, -1.57227, 2.89556, 6.84784, 16.6721", \ + "-4.2831, -3.86537, -3.04464, 0.87737, 2.61356, 6.56585, 16.3901", \ + "-4.72173, -4.304, -3.48327, 0.320019, 2.05621, 6.0085, 15.8327", \ + "-4.8877, -5.20269, -4.38195, -3.4375, 0.968065, 4.92035, 11.8652", \ + "-7.50349, -7.08576, -6.26503, -6.83567, -1.10198, -0.833464, 8.67703", \ + "-11.9387, -11.748, -11.3589, -10.5508, -8.81462, -4.86233, 0.964391", \ + "-17.6692, -17.4784, -17.0894, -14.2813, -14.5451, -14.5903, -8.76355" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10934, 4.85264, 2.40121, 1.74381, -6.57415, -15.2452, -28.7103", \ + "6.7672, 5.51049, 3.05907, 2.40167, -5.91629, -14.5873, -28.0524", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.0775, 8.82079, 6.36936, 5.71197, -2.60599, -15.2745, -28.7396", \ + "13.8791, 12.6224, 10.171, 5.51606, -2.8019, -11.4729, -28.9355", \ + "16.3986, 15.1419, 12.6905, 5.15626, -4.27986, -16.9484, -34.411" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3071, 11.6129, 10.2641, 8.79639, 7.28326, 0.949352, -5.53101", \ + "12.3741, 11.6799, 10.3312, 7.79284, 7.35027, 1.01637, -5.464", \ + "12.5372, 11.843, 10.4943, 7.95595, 7.51337, 5.17697, -5.3009", \ + "14.0503, 16.283, 14.9343, 9.53125, 7.95587, 5.61946, -3.73047", \ + "18.3274, 17.6331, 16.2844, 13.7461, 13.3035, 6.96961, 0.489244", \ + "26.8858, 26.1916, 24.8428, 22.3045, 17.8645, 15.5281, 9.04769", \ + "39.4528, 38.7586, 33.4123, 31.9922, 30.4315, 24.0976, 21.6147" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10404, 4.84733, 2.3959, -2.259, -6.57945, -15.2505, -28.7156", \ + "6.7566, 5.49989, 3.04846, -1.60644, -5.92689, -14.5979, -28.063", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.1836, 8.92685, 6.47542, 1.82053, -2.49993, -15.1685, -28.6336", \ + "13.4505, 12.1938, 9.74238, 5.08748, -3.23047, -15.899, -29.3641", \ + "19.5168, 18.2601, 11.8112, 9.15626, -1.1617, -17.8277, -35.2904" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.67791, 8.95596, 7.54951, 5.9375, 4.15729, 1.099, -7.41974", \ + "10.0972, 9.37527, 7.96882, 5.30578, 4.5766, 1.51831, -7.00043", \ + "10.9317, 10.2098, 8.80334, 6.1403, 5.41112, 2.35283, -6.16591", \ + "14.2899, 11.8625, 10.456, 8.90625, 7.06379, 4.0055, -7.39258", \ + "15.8242, 15.1023, 13.6958, 11.0328, 10.3036, 3.24783, -1.27342", \ + "22.0419, 21.3199, 19.9135, 17.2504, 16.5213, 9.46548, 4.94423", \ + "37.4266, 36.7047, 31.3007, 29.7559, 27.9085, 20.8527, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10934, 4.85264, 2.40121, 1.74381, -6.57415, -15.2452, -28.7103", \ + "6.7672, 5.51049, 3.05907, 2.40167, -5.91629, -14.5873, -28.0524", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.1836, 8.92685, 6.47542, 5.71197, -2.49993, -15.1685, -28.6336", \ + "13.8791, 12.6224, 10.171, 5.51606, -2.8019, -11.4729, -28.9355", \ + "19.5168, 18.2601, 12.6905, 9.15626, -1.1617, -16.9484, -34.411" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "12.3071, 11.6129, 10.2641, 8.79639, 7.28326, 1.099, -5.53101", \ + "12.3741, 11.6799, 10.3312, 7.79284, 7.35027, 1.51831, -5.464", \ + "12.5372, 11.843, 10.4943, 7.95595, 7.51337, 5.17697, -5.3009", \ + "14.2899, 16.283, 14.9343, 9.53125, 7.95587, 5.61946, -3.73047", \ + "18.3274, 17.6331, 16.2844, 13.7461, 13.3035, 6.96961, 0.489244", \ + "26.8858, 26.1916, 24.8428, 22.3045, 17.8645, 15.5281, 9.04769", \ + "39.4528, 38.7586, 33.4123, 31.9922, 30.4315, 24.0976, 21.6147" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0608997, -0.0607706, -0.0611704, -0.0612116, -0.0610537, -0.0611768, -0.0608275" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0723818, 0.0730274, 0.0732392, 0.0731063, 0.0732044, 0.0729448, 0.0726608" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0997178, 0.0998073, 0.100158, 0.100438, 0.0999967, 0.100155, 0.0999978" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.088396, -0.0890738, -0.0896704, -0.0888813, -0.0897734, -0.0890188, -0.0885377" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0615317, -0.0621862, -0.0615906, -0.0625812, -0.0626537, -0.0620488, -0.0620978" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0834164, 0.0838465, 0.0834309, 0.0844564, 0.0841327, 0.0836774, 0.0830623" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0550758, 0.0553657, 0.0549458, 0.0554427, 0.0553652, 0.054748, 0.0543772" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0378423, -0.0381506, -0.0377256, -0.0382806, -0.03797, -0.0376913, -0.0374639" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.215789, 0.216382, 0.232496, 0.293826, 0.461683, 0.844965, 1.64975" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.585096, 0.581596, 0.589644, 0.64481, 0.812069, 1.21072, 2.03796" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.579367, 0.580239, 0.596372, 0.657018, 0.825508, 1.20875, 2.01011" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.215982, 0.21243, 0.221772, 0.275423, 0.443522, 0.840905, 1.67025" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.219252, 0.221231, 0.239806, 0.30484, 0.476304, 0.863061, 1.6695" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.557173, 0.555619, 0.567157, 0.6272, 0.797408, 1.20009, 2.03579" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.564202, 0.565992, 0.584286, 0.649326, 0.821458, 1.20709, 2.01026" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.206943, 0.204712, 0.216962, 0.276257, 0.447021, 0.849288, 1.68514" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0620728, -0.0618402, -0.0628262, -0.0622927, -0.0620329, -0.0623288, -0.0618095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0711147, 0.0716895, 0.0710806, 0.0718162, 0.0718142, 0.0716454, 0.0715596" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.098529, 0.0983196, 0.0996839, 0.0994887, 0.0988976, 0.0992061, 0.0988712" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0895026, -0.0906031, -0.0894802, -0.0901112, -0.0908662, -0.0900411, -0.0896752" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0620352, -0.0620222, -0.0622741, -0.0624237, -0.0620535, -0.0620148, -0.0617075" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0716205, 0.0719256, 0.0719692, 0.0720519, 0.0723631, 0.0722195, 0.0719679" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0567799, 0.0567498, 0.0567469, 0.0568815, 0.0566422, 0.0565396, 0.0561808" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0567799, -0.0567498, -0.0567469, -0.0568815, -0.0566422, -0.0565396, -0.0561808" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0494783, -0.0531012, -0.0566435, -0.0581363, -0.0603236, -0.0603904, -0.060683" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0788431, 0.0789979, 0.0796932, 0.0788189, 0.079438, 0.0788396, 0.0788686" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104092, 0.101836, 0.100493, 0.0991203, 0.0997565, 0.0982902, 0.0979581" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0821172, -0.0831245, -0.0845219, -0.0841315, -0.0853603, -0.0847328, -0.0842402" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0470586, -0.0510405, -0.0548231, -0.057381, -0.0589386, -0.060026, -0.0601499" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795616, 0.079609, 0.0804202, 0.080012, 0.080288, 0.0796949, 0.0795741" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104069, 0.100942, 0.099802, 0.0991301, 0.0989721, 0.098315, 0.0978052" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0845972, -0.0850273, -0.0861305, -0.0858744, -0.0863241, -0.0855203, -0.0850043" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.61992; + rise_capacitance : 1.61478; + rise_capacitance_range (1.40206, 1.61478); + fall_capacitance : 1.61992; + fall_capacitance_range (1.35692, 1.61992); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-8.27881, -8.0395, -7.56181, -5.30517, -0.723954, 2.99436, 10.195", \ + "-8.49369, -8.25438, -7.77668, -6.82498, -4.93633, 2.77948, 9.98014", \ + "-8.89986, -8.66056, -8.18286, -7.23116, -5.34251, 2.3733, 9.57396", \ + "-8.33252, -9.37861, -8.90092, -6.60156, -6.06057, 1.65525, 5.98633", \ + "-9.168, -8.9287, -8.451, -7.4993, -5.61065, -1.89234, 9.30582", \ + "-8.26817, -8.02887, -7.55117, -6.59947, -4.71082, -0.992506, 10.2057", \ + "-6.46851, -6.2292, -5.75151, -3.57422, 1.08634, 4.80466, 12.0053" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41699, 3.23056, 4.82172, 9.10645, 13.3612, 22.0605, 30.2478", \ + "1.64537, 2.45894, 4.0501, 7.08849, 12.5896, 21.2889, 29.4762", \ + "0.14709, 0.96066, 2.55182, 5.59021, 11.0913, 19.7906, 27.9779", \ + "-1.42334, 2.14139, 3.73255, 4.0625, 8.27451, 16.9738, 26.2891", \ + "-3.58651, -2.77294, -1.18179, 1.8566, 7.35767, 12.0595, 24.2443", \ + "-6.54088, -5.72731, -4.13615, -1.09776, 4.40331, 9.10512, 21.2899", \ + "-16.9324, -12.1213, -10.5302, -10.293, -5.98819, 2.71112, 14.8959" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.78369, 6.58186, 8.14298, 12.3315, 16.5231, 25.0658, 37.1286", \ + "6.07899, 6.87716, 8.43828, 11.4196, 16.8184, 25.3611, 37.4239", \ + "6.6908, 7.48897, 9.05009, 12.0314, 17.4302, 25.9729, 38.0357", \ + "9.22607, 8.79744, 14.3561, 14.6094, 18.7387, 27.2813, 36.4649", \ + "14.9531, 15.7513, 17.3124, 20.2937, 25.6925, 30.2377, 42.3005", \ + "22.2233, 23.0215, 24.5826, 27.5639, 32.9627, 41.5054, 53.5682", \ + "38.1966, 42.9922, 44.5533, 44.7305, 52.9335, 57.4786, 69.5415" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-1.62018, -1.1884, -0.341402, -1.44531, 0.279194, 5.19967, 14.7971", \ + "-1.78064, -1.34886, -0.501867, 1.12585, 0.118729, 5.03921, 14.6366", \ + "-2.14531, -1.71353, -0.866537, 0.761183, -0.245942, 4.67454, 14.2719", \ + "-5.76172, -6.61534, -5.76835, -2.8125, -1.15025, 3.77023, 10.4981", \ + "-9.5556, -9.12382, -8.27683, -10.6466, -7.65623, -2.73575, 2.86414", \ + "-21.3695, -20.9377, -20.0907, -18.463, -19.4702, -14.5497, -8.94978", \ + "-40.2052, -39.7734, -38.9264, -39.5455, -38.3058, -37.3829, -31.783" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.78369, 6.58186, 8.14298, 12.3315, 16.5231, 25.0658, 37.1286", \ + "6.07899, 6.87716, 8.43828, 11.4196, 16.8184, 25.3611, 37.4239", \ + "6.6908, 7.48897, 9.05009, 12.0314, 17.4302, 25.9729, 38.0357", \ + "9.22607, 8.79744, 14.3561, 14.6094, 18.7387, 27.2813, 36.4649", \ + "14.9531, 15.7513, 17.3124, 20.2937, 25.6925, 30.2377, 42.3005", \ + "22.2233, 23.0215, 24.5826, 27.5639, 32.9627, 41.5054, 53.5682", \ + "38.1966, 42.9922, 44.5533, 44.7305, 52.9335, 57.4786, 69.5415" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.41699, 3.23056, 4.82172, 9.10645, 13.3612, 22.0605, 30.2478", \ + "1.64537, 2.45894, 4.0501, 7.08849, 12.5896, 21.2889, 29.4762", \ + "0.14709, 0.96066, 2.55182, 5.59021, 11.0913, 19.7906, 27.9779", \ + "-1.42334, 2.14139, 3.73255, 4.0625, 8.27451, 16.9738, 26.2891", \ + "-3.58651, -2.77294, -1.18179, 1.8566, 7.35767, 12.0595, 24.2443", \ + "-6.54088, -5.72731, -4.13615, -1.09776, 4.40331, 9.10512, 21.2899", \ + "-16.9324, -12.1213, -10.5302, -10.293, -5.98819, 2.71112, 14.8959" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.9438, 14.2691, 12.9576, 11.5771, 10.1526, 3.92536, -2.77955", \ + "14.9752, 14.3004, 12.989, 10.5184, 10.1839, 3.95672, -2.74819", \ + "15.0318, 14.3571, 13.0456, 10.5751, 10.2406, 4.01336, -2.69155", \ + "16.1914, 14.4461, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, -2.91016", \ + "14.975, 14.3002, 12.9888, 10.5182, 10.1837, 3.95651, -2.7484", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.62898, 8.17193, 5.34294, 1.55484, -5.2499, -14.3499, -26.747", \ + "10.1994, 8.74229, 5.9133, 4.59334, -4.67954, -13.7795, -26.1766", \ + "11.3185, 9.86148, 7.03249, 5.71252, 0.437149, -8.66286, -25.0574", \ + "14.4707, 12.0136, 9.18465, 5, 2.58931, -6.5107, -21.7773", \ + "17.4302, 15.9732, 13.1442, 11.8242, 2.55132, -6.54869, -18.9458", \ + "23.9699, 22.5129, 19.6839, 14.3664, 9.09105, -0.00896359, -12.406", \ + "31.5322, 30.0752, 27.2462, 23.0469, 16.6533, 7.55333, -4.84375" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.9726, 5.16318, 3.5685, -2.4707, -9.32068, -15.3751, -29.2947", \ + "6.02884, 5.21943, 3.62475, -3.46548, -9.26444, -15.3188, -29.2385", \ + "6.11108, 5.30166, -0.290521, -3.38325, -9.18221, -15.2366, -29.1563", \ + "3.18848, 5.34507, -0.247113, -2.22656, -9.1388, -19.1907, -31.9922", \ + "5.75708, 0.950165, -0.644514, -3.73724, -9.5362, -19.5881, -33.5078", \ + "-0.972081, -1.7815, -3.37618, -6.46891, -16.2654, -26.3172, -40.2369", \ + "-10.1854, -10.9948, -12.5895, -17.6797, -25.4786, -39.528, -53.4477" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.166, 9.47176, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "10.5338, 9.83955, 8.49082, 5.95251, 5.50993, -0.823973, -7.30434", \ + "11.3036, 10.6093, 9.26057, 6.72225, 6.27968, -0.0542237, -6.53459", \ + "14.0503, 16.283, 10.9368, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.8763, 20.1821, 18.8334, 16.295, 11.855, 9.51857, 3.03821", \ + "34.859, 34.1648, 32.816, 30.2777, 25.8377, 23.5013, 17.0209", \ + "59.5798, 58.8855, 57.5368, 52.1191, 50.5584, 44.2245, 41.7416" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.9438, 14.2691, 12.9576, 11.5771, 10.1526, 3.92536, -2.77955", \ + "14.9752, 14.3004, 12.989, 10.5184, 10.1839, 3.95672, -2.74819", \ + "15.0318, 14.3571, 13.0456, 10.5751, 10.2406, 4.01336, -2.69155", \ + "16.1914, 14.4461, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, -2.91016", \ + "14.975, 14.3002, 12.9888, 10.5182, 10.1837, 3.95651, -2.7484", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.166, 9.47176, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "10.5338, 9.83955, 8.49082, 5.95251, 5.50993, -0.823973, -7.30434", \ + "11.3185, 10.6093, 9.26057, 6.72225, 6.27968, -0.0542237, -6.53459", \ + "14.4707, 16.283, 10.9368, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.8763, 20.1821, 18.8334, 16.295, 11.855, 9.51857, 3.03821", \ + "34.859, 34.1648, 32.816, 30.2777, 25.8377, 23.5013, 17.0209", \ + "59.5798, 58.8855, 57.5368, 52.1191, 50.5584, 44.2245, 41.7416" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0988618, 0.11272, 0.157038, 0.276262, 0.574949, 1.22963, 2.59822" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491035, 0.512827, 0.589124, 0.760986, 1.1171, 1.83129, 3.25393" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.455248, 0.468098, 0.513254, 0.635915, 0.932555, 1.58815, 2.95552" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127375, 0.150441, 0.225825, 0.398129, 0.751737, 1.46552, 2.88595" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.509611, 0.522582, 0.564941, 0.672002, 0.913718, 1.42834, 2.5009" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.662816, 0.675762, 0.722588, 0.845666, 1.11103, 1.66891, 2.81235" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.725727, 0.739771, 0.781884, 0.889133, 1.13085, 1.64717, 2.71913" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.442106, 0.454121, 0.501338, 0.623898, 0.888819, 1.44585, 2.59104" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.223379, 0.238282, 0.298484, 0.472133, 0.884124, 1.7733, 3.60131" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.797805, 0.818785, 0.891188, 1.09042, 1.55256, 2.53457, 4.52317" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.788439, 0.804663, 0.864931, 1.03839, 1.45226, 2.34077, 4.16716" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.231114, 0.25181, 0.324485, 0.522835, 0.985438, 1.96563, 3.95633" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0482861, -0.0346247, 0.00807828, 0.114867, 0.351338, 0.847681, 1.86155" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.403857, 0.4235, 0.475115, 0.596392, 0.853525, 1.383, 2.4515" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.403742, 0.417559, 0.459052, 0.569266, 0.806358, 1.30128, 2.31466" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0462343, -0.0275153, 0.0240873, 0.145401, 0.401902, 0.929587, 1.99898" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0143802, -0.0055339, 0.0331628, 0.137206, 0.374552, 0.870074, 1.88476" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.403369, 0.416078, 0.462191, 0.578232, 0.831443, 1.35821, 2.42307" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.421, 0.429701, 0.469136, 0.57442, 0.811063, 1.3085, 2.32117" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0495895, -0.0340184, 0.0158788, 0.136573, 0.393222, 0.92006, 1.98836" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0494623, -0.036016, 0.00687397, 0.113579, 0.351256, 0.847598, 1.86118" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.398734, 0.418489, 0.470436, 0.591817, 0.850731, 1.37986, 2.44775" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402261, 0.415891, 0.46014, 0.567528, 0.803016, 1.30084, 2.31374" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0499106, -0.0321124, 0.0201042, 0.140845, 0.397732, 0.926424, 1.9952" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.688435; + rise_capacitance : 0.682465; + rise_capacitance_range (0.559338, 0.682465); + fall_capacitance : 0.688435; + fall_capacitance_range (0.581403, 0.688435); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.00775, 7.85924, 9.52494, 9.91699, 14.4778, 23.6284, 32.3865", \ + "7.26535, 8.11684, 9.78254, 12.9648, 14.7354, 23.886, 32.6441", \ + "3.78233, 8.63131, 10.297, 13.4793, 15.2499, 24.4004, 33.1586", \ + "6.05469, 5.65984, 11.323, 11.7969, 16.2759, 25.4265, 35.3125", \ + "6.84871, 7.7002, 9.36589, 12.5482, 18.3163, 27.4668, 36.2249", \ + "10.8827, 11.7342, 13.3999, 16.5822, 22.3503, 31.5008, 40.2589", \ + "22.7613, 23.6128, 25.2785, 25.7235, 34.2289, 39.3819, 52.1376" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-5.53223, -5.1145, -4.29376, -1.44531, 0.218408, 5.1353, 11.2", \ + "-6.12431, -1.70908, -4.88584, -3.30326, -0.373675, 4.54322, 10.6079", \ + "-3.30256, -2.88483, -2.0641, -4.47902, -1.54943, 3.36747, 9.43212", \ + "-8.33252, -5.20269, -4.38195, -5.46875, -3.86729, 1.04961, 8.24219", \ + "-10.1215, -9.70381, -8.88308, -7.3005, -8.36841, -3.45152, 2.61314", \ + "-18.5854, -18.1677, -17.347, -15.7644, -16.8323, -11.9154, -5.85074", \ + "-33.3597, -32.942, -32.1212, -33.3203, -31.6066, -26.6897, -24.6225" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.32758, 8.50965, 10.8052, 12.3315, 18.6596, 25.3412, 37.1286", \ + "7.64729, 8.82936, 11.1249, 11.444, 18.9793, 25.6609, 37.4483", \ + "8.28351, 9.46558, 11.7611, 12.0802, 19.6155, 26.2971, 38.0845", \ + "6.77246, 10.7252, 13.0208, 14.6094, 20.8752, 27.5568, 36.4649", \ + "12.0113, 13.1934, 15.4889, 15.808, 19.3458, 30.0249, 37.8148", \ + "16.743, 17.925, 20.2206, 20.5397, 24.0775, 30.7591, 42.5465", \ + "25.3879, 26.5699, 28.8655, 30.3809, 36.7199, 43.4015, 51.1914" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.06055, -1.69369, -0.969512, 1.68701, 3.10838, 7.83316, 18.8376", \ + "-2.6691, -2.30225, -1.57807, -0.167883, 2.49983, 7.2246, 18.229", \ + "-3.86238, -3.49552, -2.77134, -1.36116, 1.30655, 6.03132, 17.0358", \ + "-4.8877, -1.78921, -1.06503, -2.34375, 3.01287, 3.74014, 11.8652", \ + "-6.35698, -5.99012, -5.26594, -3.85576, -1.18805, 3.53672, 10.5437", \ + "-13.233, -12.8661, -12.1419, -10.7318, -8.06404, -7.33677, -0.329835", \ + "-20.8817, -20.5148, -19.7906, -21.1621, -19.7102, -14.9855, -11.976" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.32758, 8.50965, 10.8052, 12.3315, 18.6596, 25.3412, 37.1286", \ + "7.64729, 8.82936, 11.1249, 12.9648, 18.9793, 25.6609, 37.4483", \ + "8.28351, 9.46558, 11.7611, 13.4793, 19.6155, 26.2971, 38.0845", \ + "6.77246, 10.7252, 13.0208, 14.6094, 20.8752, 27.5568, 36.4649", \ + "12.0113, 13.1934, 15.4889, 15.808, 19.3458, 30.0249, 37.8148", \ + "16.743, 17.925, 20.2206, 20.5397, 24.0775, 31.5008, 42.5465", \ + "25.3879, 26.5699, 28.8655, 30.3809, 36.7199, 43.4015, 52.1376" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-2.06055, -1.69369, -0.969512, 1.68701, 3.10838, 7.83316, 18.8376", \ + "-2.6691, -1.70908, -1.57807, -0.167883, 2.49983, 7.2246, 18.229", \ + "-3.30256, -2.88483, -2.0641, -1.36116, 1.30655, 6.03132, 17.0358", \ + "-4.8877, -1.78921, -1.06503, -2.34375, 3.01287, 3.74014, 11.8652", \ + "-6.35698, -5.99012, -5.26594, -3.85576, -1.18805, 3.53672, 10.5437", \ + "-13.233, -12.8661, -12.1419, -10.7318, -8.06404, -7.33677, -0.329835", \ + "-20.8817, -20.5148, -19.7906, -21.1621, -19.7102, -14.9855, -11.976" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.35353, 5.4839, 3.77458, -2.4707, -5.64253, -15.9619, -28.9315", \ + "6.86558, 5.99595, 4.28663, 0.98782, -5.13048, -15.4498, -28.4194", \ + "7.84279, 6.97315, 5.26383, 1.96503, -4.15327, -14.4726, -27.4422", \ + "7.16177, 8.74, 7.03068, 1, -6.38392, -12.7058, -28.5449", \ + "9.392, 8.52237, 6.81305, 3.51424, -2.60406, -12.9234, -29.8905", \ + "8.95674, 8.0871, 6.37778, 3.07898, -3.03932, -13.3587, -30.3258", \ + "8.08621, 7.21657, 5.50725, 0.210943, -7.90735, -18.2267, -35.1938" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "14.7788, 10.1104, 8.80282, 10.3221, 5.91334, 3.28463, -5.20041", \ + "12.2468, 11.5759, 10.2683, 7.79002, 7.3788, 4.75009, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 15.7734, 9.14721, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 19.2783, 10.7932", \ + "51.3918, 46.7234, 45.4158, 44.9375, 38.5288, 35.9001, 31.4125" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.87451, 2.76639, 0.601664, -2.4707, -6.94677, -18.4949, -28.4031", \ + "4.24639, 3.13827, 0.973538, -3.14985, -6.57489, -18.123, -28.0313", \ + "4.96232, 3.8542, 1.68948, -2.43392, -5.85896, -17.4071, -31.3128", \ + "7.31445, 5.17484, 3.01011, 0, -4.53832, -16.0864, -27.9922", \ + "8.47926, 7.37114, 5.20641, 1.08302, -6.33952, -13.8901, -27.7959", \ + "11.5298, 10.4216, 8.25692, 4.13352, -3.28902, -14.8371, -28.7429", \ + "13.1955, 12.0874, 5.92516, 2.91993, -5.62078, -17.1689, -35.0721" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.0459, 6.40308, 5.15147, 3.83545, 2.59244, -3.60807, -11.2927", \ + "7.43813, 6.79531, 5.54371, 7.17416, 2.98467, -3.21584, -10.9005", \ + "12.2253, 7.58498, 6.33337, 7.96382, 3.77433, -2.42617, -10.1108", \ + "10.8789, 13.1826, 11.931, 6.67969, 5.37442, -0.82609, -7.39258", \ + "17.1086, 16.4658, 15.2142, 12.8471, 8.65763, 2.45712, -1.23004", \ + "24.0072, 23.3644, 22.1128, 19.7457, 15.5562, 13.3532, 5.66855", \ + "39.133, 38.4902, 37.2386, 31.9922, 30.682, 24.4815, 16.7969" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.35353, 5.4839, 3.77458, -2.4707, -5.64253, -15.9619, -28.4031", \ + "6.86558, 5.99595, 4.28663, 0.98782, -5.13048, -15.4498, -28.0313", \ + "7.84279, 6.97315, 5.26383, 1.96503, -4.15327, -14.4726, -27.4422", \ + "7.31445, 8.74, 7.03068, 1, -4.53832, -12.7058, -27.9922", \ + "9.392, 8.52237, 6.81305, 3.51424, -2.60406, -12.9234, -27.7959", \ + "11.5298, 10.4216, 8.25692, 4.13352, -3.03932, -13.3587, -28.7429", \ + "13.1955, 12.0874, 5.92516, 2.91993, -5.62078, -17.1689, -35.0721" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 9.37058, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "14.7788, 10.1104, 8.80282, 10.3221, 5.91334, 3.28463, -5.20041", \ + "12.2468, 11.5759, 10.2683, 7.96382, 7.3788, 4.75009, -3.73495", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "20.6414, 19.9705, 18.6629, 16.1846, 15.7734, 9.14721, 0.662164", \ + "30.7725, 30.1016, 28.794, 26.3157, 21.907, 19.2783, 10.7932", \ + "51.3918, 46.7234, 45.4158, 44.9375, 38.5288, 35.9001, 31.4125" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.19806, 0.1997, 0.215719, 0.272273, 0.419284, 0.746138, 1.42383" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.495751, 0.493557, 0.506374, 0.563594, 0.722598, 1.07437, 1.79482" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473269, 0.474494, 0.490497, 0.546939, 0.694778, 1.02168, 1.69715" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.220186, 0.217066, 0.230252, 0.287394, 0.446496, 0.79816, 1.51937" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00316266, 0.00308651, 0.00305823, 0.00300213, 0.00288602, 0.00284317, 0.00248396" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0117561, 0.0118308, 0.0119241, 0.0118443, 0.0117785, 0.0117388, 0.0116261" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.128354, 0.12835, 0.128107, 0.128294, 0.128144, 0.126777, 0.12431" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100995, -0.101445, -0.102318, -0.102554, -0.102463, -0.101317, -0.100815" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.193277, 0.197769, 0.219252, 0.286345, 0.451627, 0.813584, 1.55573" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.433385, 0.43378, 0.45307, 0.520472, 0.695325, 1.07837, 1.8551" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.424935, 0.429169, 0.449744, 0.517428, 0.68342, 1.04514, 1.78567" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.201215, 0.201439, 0.22046, 0.287803, 0.462273, 0.845296, 1.62305" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0745508, -0.0745706, -0.0758457, -0.076203, -0.0763821, -0.0754771, -0.0756279" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0963886, 0.0962582, 0.0966863, 0.0976567, 0.097603, 0.0972476, 0.0967458" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0416098, 0.0416515, 0.0418169, 0.0417293, 0.0415703, 0.0411807, 0.0409108" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0282441, -0.0276052, -0.0280711, -0.0285083, -0.0280993, -0.0278049, -0.0275355" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0630786, -0.0670401, -0.0712015, -0.073046, -0.074178, -0.0740682, -0.0739284" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0883645, 0.0887779, 0.0893861, 0.0889664, 0.0892641, 0.0895521, 0.0892124" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.105056, 0.101667, 0.0999092, 0.0986219, 0.0977466, 0.0963705, 0.0956628" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0799694, -0.0811816, -0.0824001, -0.08228, -0.0824206, -0.0821119, -0.0816937" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00983145, -0.00991504, -0.0099102, -0.0100121, -0.00995291, -0.00980543, -0.0095438" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0185942, 0.0186247, 0.0187072, 0.0187872, 0.0187485, 0.0187272, 0.0186836" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107532, 0.108412, 0.108172, 0.108644, 0.108869, 0.108136, 0.107362" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0966881, -0.0975331, -0.098356, -0.098349, -0.0981723, -0.0973717, -0.0966615" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0644319, -0.0686304, -0.0710692, -0.0730988, -0.0730243, -0.0736977, -0.0737327" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0884539, 0.0886704, 0.0890431, 0.0889819, 0.0892028, 0.0894385, 0.0892335" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.103146, 0.101219, 0.0992793, 0.0985488, 0.096563, 0.0962663, 0.0955953" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0820989, -0.0828137, -0.0832821, -0.0832283, -0.0830622, -0.082668, -0.0823418" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0756361, -0.0770463, -0.077275, -0.0770636, -0.0768402, -0.076846, -0.0767208" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0861406, 0.0864449, 0.086977, 0.0869371, 0.0875922, 0.0873417, 0.0871767" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0460747, 0.0461787, 0.0458863, 0.0460447, 0.0457361, 0.0454544, 0.0451992" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0460747, -0.0461787, -0.0458863, -0.0460447, -0.0457361, -0.0454544, -0.0451992" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx3_ASAP7_75t_SL) { + area : 0.39366; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 29549.3; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24600.7; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29229.4; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 28677.9; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30349.7; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25401.1; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30401; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25452.4; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29547.8; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24599.1; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26872.1; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26320.6; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 30155.6; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 29604.1; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27798.8; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 27247.3; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20286.8; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25920.9; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22072; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23998.1; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21213.5; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26847.7; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 21210.4; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 26844.9; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 20284; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25918.1; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22069.6; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 23730.9; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22998.8; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24924.9; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 22996.5; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 24657.7; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 25680.7; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 92.16; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "34.8868, 36.7291, 39.8827, 45.3876, 54.8404, 71.9893, 104.519", \ + "36.0215, 37.8601, 41.0124, 46.5192, 55.9721, 73.1208, 105.651", \ + "38.0556, 39.8939, 43.0445, 48.5522, 58.0069, 75.1542, 107.685", \ + "41.5032, 43.3457, 46.4858, 51.9983, 61.4367, 78.5856, 111.106", \ + "46.9089, 48.7421, 51.901, 57.3916, 66.835, 83.9717, 116.502", \ + "55.6368, 57.4735, 60.6174, 66.1131, 75.5764, 92.6891, 125.368", \ + "69.3845, 71.2234, 74.3698, 79.875, 89.315, 106.447, 138.992" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.1368, 20.9458, 24.774, 32.8269, 50.0004, 85.4629, 158.297", \ + "19.134, 20.9432, 24.7638, 32.8328, 49.9988, 85.4621, 158.297", \ + "19.1112, 20.9218, 24.745, 32.8188, 50.0038, 85.4553, 158.294", \ + "19.104, 20.9146, 24.74, 32.8441, 50.0083, 85.4963, 158.31", \ + "19.0706, 20.8909, 24.7338, 32.8686, 49.9904, 85.4852, 158.302", \ + "19.2673, 21.0852, 24.9583, 32.9998, 50.3393, 86.0971, 158.556", \ + "19.8742, 21.6637, 25.4781, 33.5008, 50.712, 86.3387, 158.617" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "33.6774, 35.5914, 38.9553, 44.672, 54.1489, 70.7672, 101.274", \ + "34.8088, 36.7231, 40.086, 45.8038, 55.2844, 71.8568, 102.408", \ + "36.8889, 38.8035, 42.1669, 47.8864, 57.3629, 73.9617, 104.492", \ + "40.1165, 42.0443, 45.4119, 51.1204, 60.5902, 77.1855, 107.719", \ + "45.4855, 47.3952, 50.7568, 56.4805, 65.9715, 82.531, 113.079", \ + "54.1196, 56.0289, 59.4, 65.1272, 74.6136, 91.1929, 121.673", \ + "67.821, 69.7537, 73.1473, 78.9201, 88.4264, 104.973, 135.427" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "19.0738, 20.7423, 24.3472, 31.7621, 47.1712, 78.7501, 142.991", \ + "19.0689, 20.7386, 24.3433, 31.7563, 47.1711, 78.7134, 143.044", \ + "19.0504, 20.7201, 24.3239, 31.7393, 47.1611, 78.7145, 143.043", \ + "18.9846, 20.6614, 24.2874, 31.7371, 47.1478, 78.7194, 143.019", \ + "18.9144, 20.5985, 24.2598, 31.6739, 47.1392, 78.6928, 143.026", \ + "19.0081, 20.7007, 24.3473, 31.836, 47.2357, 79.0412, 142.951", \ + "19.8138, 21.5047, 25.1298, 32.4928, 47.7253, 79.2565, 143.898" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.30929, 2.19449, 2.01016, 1.78356, 1.59377, 1.46488, 1.37937", \ + "2.31907, 2.2034, 2.01891, 1.79153, 1.60309, 1.47449, 1.38908", \ + "2.34656, 2.23094, 2.04637, 1.81944, 1.63125, 1.50237, 1.41768", \ + "2.42854, 2.31367, 2.12858, 1.90094, 1.71009, 1.57347, 1.48834", \ + "2.61389, 2.49826, 2.31477, 2.09245, 1.89552, 1.76302, 1.67091", \ + "3.03235, 2.91662, 2.73141, 2.50449, 2.33168, 2.20446, 2.11168", \ + "3.90642, 3.79103, 3.59916, 3.3616, 3.17287, 3.04065, 2.9177" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.48378, 2.3612, 2.16973, 1.92521, 1.70311, 1.55636, 1.46625", \ + "2.49315, 2.37029, 2.17879, 1.93441, 1.71242, 1.56571, 1.47551", \ + "2.52255, 2.40043, 2.2089, 1.9658, 1.74321, 1.59722, 1.50673", \ + "2.60026, 2.48113, 2.2914, 2.04832, 1.82526, 1.67988, 1.58982", \ + "2.7831, 2.66215, 2.46992, 2.22818, 2.00763, 1.86183, 1.77343", \ + "3.19395, 3.06938, 2.87819, 2.6334, 2.40896, 2.26087, 2.17111", \ + "4.07111, 3.94711, 3.75405, 3.49556, 3.25341, 3.09366, 2.99529" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.16787, 2.05307, 1.86877, 1.64221, 1.45248, 1.3236, 1.23829", \ + "2.1782, 2.06248, 1.87793, 1.65052, 1.46208, 1.33348, 1.24824", \ + "2.20699, 2.09137, 1.90676, 1.67977, 1.49148, 1.36261, 1.27803", \ + "2.28933, 2.175, 1.98995, 1.76551, 1.57411, 1.44931, 1.36168", \ + "2.47406, 2.35816, 2.17548, 1.94729, 1.75679, 1.63006, 1.54492", \ + "2.89265, 2.7772, 2.59073, 2.36063, 2.16414, 2.03124, 1.94282", \ + "3.76593, 3.64997, 3.4594, 3.22226, 3.01323, 2.86874, 2.77219" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("1.44, 2.88, 5.76, 11.52, 23.04, 46.08, 92.16"); + values ( \ + "2.31016, 2.18761, 1.99615, 1.75165, 1.52955, 1.38293, 1.29258", \ + "2.32021, 2.1974, 2.00596, 1.76162, 1.53965, 1.39309, 1.30266", \ + "2.35086, 2.22875, 2.03724, 1.79419, 1.57158, 1.42574, 1.33491", \ + "2.42929, 2.30725, 2.11599, 1.8714, 1.6488, 1.49972, 1.40942", \ + "2.61186, 2.49198, 2.30066, 2.05682, 1.83461, 1.68534, 1.58854", \ + "3.02107, 2.89783, 2.70601, 2.4667, 2.23724, 2.10313, 1.98764", \ + "3.89887, 3.77531, 3.58137, 3.32954, 3.08007, 2.9413, 2.89159" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.537833; + rise_capacitance : 0.537531; + rise_capacitance_range (0.44519, 0.537531); + fall_capacitance : 0.537833; + fall_capacitance_range (0.437122, 0.537833); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "15.8691, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "28.0762, 28.0762, 30.5176, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.4277, 13.4277, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "30.2124, 30.2124, 32.959, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.574827, 0.582957, 0.610888, 0.683882, 0.854311, 1.21986, 1.96455" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.77035, 0.779066, 0.80608, 0.879062, 1.05038, 1.41534, 2.15933" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.357418, 0.364648, 0.393391, 0.463161, 0.631653, 0.995479, 1.74441" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.507656, 0.516824, 0.548947, 0.629811, 0.814326, 1.2054, 2.00077" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.527357, 0.533999, 0.561694, 0.631907, 0.800231, 1.1643, 1.91265" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342826, 0.352581, 0.385586, 0.466091, 0.649951, 1.041, 1.83666" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.39475, 0.401438, 0.43095, 0.499649, 0.668907, 1.03327, 1.78266" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494428, 0.503172, 0.533452, 0.61633, 0.800974, 1.19171, 1.9877" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.52339, 0.530336, 0.558918, 0.62742, 0.796546, 1.16135, 1.91087" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346488, 0.355939, 0.386852, 0.469292, 0.654395, 1.04418, 1.84006" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.661862, 0.669715, 0.701934, 0.781161, 0.970266, 1.37291, 2.19302" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.752168, 0.760469, 0.791921, 0.870583, 1.05945, 1.4634, 2.28318" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.58325, 0.591289, 0.619366, 0.691792, 0.861859, 1.22621, 1.97162" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.868493, 0.876455, 0.903051, 0.97578, 1.14641, 1.51031, 2.25502" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.353459, 0.360715, 0.389446, 0.457891, 0.627386, 0.991033, 1.74045" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514277, 0.523389, 0.555568, 0.636443, 0.820972, 1.21204, 2.00745" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.528896, 0.535527, 0.563188, 0.631768, 0.801561, 1.16494, 1.91353" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.345517, 0.355222, 0.388265, 0.468801, 0.652621, 1.04368, 1.83945" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.583896, 0.591506, 0.619451, 0.691323, 0.86123, 1.22609, 1.97213" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.903763, 0.911523, 0.938089, 1.01022, 1.18103, 1.54561, 2.29068" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.353465, 0.360709, 0.389463, 0.459312, 0.626978, 0.991078, 1.73993" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.514454, 0.52345, 0.555713, 0.638001, 0.821407, 1.21217, 2.00758" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.529574, 0.536186, 0.56393, 0.633852, 0.801469, 1.16559, 1.91341" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.345362, 0.355123, 0.387965, 0.469732, 0.652737, 1.04366, 1.83943" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.574038, 0.582215, 0.61041, 0.683286, 0.85379, 1.21986, 1.96537" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.77058, 0.778308, 0.805285, 0.878457, 1.04977, 1.41544, 2.1605" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.357639, 0.364658, 0.393445, 0.463493, 0.631678, 0.995523, 1.74434" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.507797, 0.516843, 0.549029, 0.631289, 0.814688, 1.20546, 2.0008" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.526749, 0.534005, 0.561797, 0.632001, 0.800131, 1.1643, 1.91236" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.342825, 0.352634, 0.385473, 0.467182, 0.650205, 1.04107, 1.83673" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.38043, 0.386756, 0.415386, 0.485559, 0.654586, 1.0176, 1.76824" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.494978, 0.503447, 0.533727, 0.617657, 0.801567, 1.19142, 1.98635" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.518188, 0.524894, 0.552358, 0.622191, 0.791861, 1.15488, 1.90569" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.346749, 0.356186, 0.387015, 0.470852, 0.654374, 1.04389, 1.83902" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.604251, 0.612239, 0.644823, 0.725431, 0.917488, 1.32645, 2.15358" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.738582, 0.746934, 0.778358, 0.859335, 1.05191, 1.46069, 2.28772" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.389917, 0.396619, 0.426153, 0.494812, 0.663646, 1.02729, 1.77787" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49985, 0.508386, 0.538724, 0.621645, 0.806299, 1.19701, 1.99306" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.513463, 0.520441, 0.549048, 0.617475, 0.786142, 1.15032, 1.90104" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.353874, 0.363306, 0.394253, 0.47674, 0.661829, 1.05161, 1.84749" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.658056, 0.66595, 0.698304, 0.777211, 0.96631, 1.36842, 2.1887" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.738688, 0.746767, 0.778388, 0.856137, 1.04555, 1.44901, 2.26915" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.647049; + rise_capacitance : 0.639956; + rise_capacitance_range (0.536603, 0.639956); + fall_capacitance : 0.647049; + fall_capacitance_range (0.577424, 0.647049); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.44922, 6.27038, 7.8775, 12.2754, 16.5346, 21.4515, 34.2664", \ + "5.23588, 6.05704, 7.66416, 10.7376, 16.3212, 25.2357, 34.053", \ + "4.856, 5.67716, 7.28428, 10.3577, 15.9414, 24.8558, 33.6731", \ + "5.60791, 9.10211, 10.7092, 11.1719, 19.3663, 24.2832, 34.2383", \ + "6.88223, 11.7009, 13.308, 16.3814, 17.9676, 26.882, 39.6969", \ + "14.0846, 14.9057, 16.5128, 19.5863, 25.1699, 30.0868, 42.9017", \ + "22.475, 23.2961, 24.9032, 29.3908, 33.5603, 38.4772, 51.2921" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.42139, -4.18148, -3.70284, -1.38672, -0.863783, 2.8342, 9.93043", \ + "-4.83566, -4.59576, -4.11712, -3.16452, -1.27806, 2.41993, 9.51615", \ + "-5.65225, -5.41234, -4.9337, -3.9811, -2.09464, 1.60334, 12.6971", \ + "-5.87402, -6.99764, -6.519, -4.14062, -3.67995, 0.018039, 8.24219", \ + "-10.2167, -9.97679, -9.49815, -8.54555, -6.65909, -2.96111, 4.13512", \ + "-11.4117, -11.1717, -10.6931, -9.74051, -11.8516, -8.15357, -1.05734", \ + "-18.7332, -18.4933, -18.0147, -19.7949, -19.1731, -15.4751, -12.3764" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.32471, 6.1662, 7.81143, 12.2754, 16.6261, 25.5608, 33.7683", \ + "5.54193, 6.38341, 12.0261, 11.1681, 16.8433, 25.778, 33.9855", \ + "5.98881, 10.8278, 12.473, 15.6125, 17.2902, 26.2249, 34.4324", \ + "8.25684, 11.7714, 13.4166, 13.9453, 18.2337, 27.1685, 36.5137", \ + "13.0162, 13.8576, 15.5029, 18.6424, 20.32, 29.2548, 37.4623", \ + "17.9854, 18.8269, 20.4721, 23.6116, 25.2892, 34.224, 42.4315", \ + "31.1105, 31.952, 33.5973, 33.9746, 38.4144, 43.3516, 51.5591" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.43506, -3.26404, -2.91219, -0.825195, 3.47149, 7.38658, 13.7341", \ + "-3.87968, -3.70866, -3.35681, 1.38369, 3.02688, 6.94196, 13.2895", \ + "-4.75102, -4.58, -4.22815, 0.512352, 2.15553, 6.07062, 12.4182", \ + "-5.07813, -6.2511, -1.90175, -3.75, 0.484434, 4.39952, 11.8652", \ + "-9.478, -5.30948, -4.95763, -4.21463, -2.57144, 1.34364, 7.6912", \ + "-10.4469, -10.2759, -9.92408, -9.18108, -7.5379, -3.62281, 2.72475", \ + "-15.7986, -15.6276, -15.2758, -17.2656, -12.8896, -12.972, -6.62445" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.44922, 6.27038, 7.8775, 12.2754, 16.6261, 25.5608, 34.2664", \ + "5.54193, 6.38341, 12.0261, 11.1681, 16.8433, 25.778, 34.053", \ + "5.98881, 10.8278, 12.473, 15.6125, 17.2902, 26.2249, 34.4324", \ + "8.25684, 11.7714, 13.4166, 13.9453, 19.3663, 27.1685, 36.5137", \ + "13.0162, 13.8576, 15.5029, 18.6424, 20.32, 29.2548, 39.6969", \ + "17.9854, 18.8269, 20.4721, 23.6116, 25.2892, 34.224, 42.9017", \ + "31.1105, 31.952, 33.5973, 33.9746, 38.4144, 43.3516, 51.5591" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.43506, -3.26404, -2.91219, -0.825195, 3.47149, 7.38658, 13.7341", \ + "-3.87968, -3.70866, -3.35681, 1.38369, 3.02688, 6.94196, 13.2895", \ + "-4.75102, -4.58, -4.22815, 0.512352, 2.15553, 6.07062, 12.6971", \ + "-5.07813, -6.2511, -1.90175, -3.75, 0.484434, 4.39952, 11.8652", \ + "-9.478, -5.30948, -4.95763, -4.21463, -2.57144, 1.34364, 7.6912", \ + "-10.4469, -10.2759, -9.92408, -9.18108, -7.5379, -3.62281, 2.72475", \ + "-15.7986, -15.6276, -15.2758, -17.2656, -12.8896, -12.972, -6.62445" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.507, 2.05023, -1.50391, -6.80773, -14.9238, -25.9129", \ + "6.10404, 4.842, 2.38523, 1.7385, -6.47273, -14.5888, -29.5754", \ + "6.7566, 5.49455, 7.03529, 2.39106, -5.82017, -13.9362, -28.9229", \ + "9.0625, 10.7274, 8.27063, 0.78125, -4.58482, -12.7009, -25.6875", \ + "14.1811, 8.92152, 6.46475, 5.81803, -2.3932, -14.5068, -25.4959", \ + "13.4505, 12.1885, 9.73171, 5.08748, -3.12375, -11.2398, -26.2264", \ + "19.5168, 14.2572, 11.8005, 9.15626, -1.05497, -13.1685, -32.1527" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4482, 13.419, 11.4294, 8.79639, 5.41889, 2.04433, -5.53101", \ + "14.5153, 13.486, 11.4964, 7.79284, 5.4859, 2.11134, -5.464", \ + "14.6784, 13.6491, 11.6595, 11.9534, 5.649, 2.27444, -5.3009", \ + "16.1914, 14.0916, 12.102, 9.53125, 10.089, 6.71443, -3.73047", \ + "20.4685, 19.4392, 17.4496, 13.7461, 11.4391, 8.06458, 0.489244", \ + "29.0269, 27.9977, 26.0081, 22.3045, 19.9976, 12.6255, 9.04769", \ + "37.5964, 36.5672, 34.5776, 31.9922, 28.5671, 25.1925, 21.6147" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.91445, -15.5855, -29.0506", \ + "6.10547, 4.84876, 2.39733, 1.73993, -6.57803, -15.2491, -28.7142", \ + "6.75945, 5.50274, 3.05131, -1.60359, -5.92405, -14.5951, -28.0602", \ + "9.0625, 6.73523, 4.2838, 0.78125, -4.69155, -13.3626, -29.6875", \ + "10.1551, 8.89838, 6.44695, 1.79205, -2.5284, -15.1969, -28.6621", \ + "13.274, 12.0173, 9.56583, 4.91093, -3.40702, -12.0781, -29.5407", \ + "18.6796, 17.4229, 14.9715, 7.48187, -1.99889, -14.6674, -32.13" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.53229, 8.83312, 7.47026, 5.9375, 4.28409, 1.3526, -7.41974", \ + "9.9516, 9.25243, 7.88957, 5.30578, 4.7034, 1.77191, -7.00043", \ + "10.7861, 10.087, 8.72409, 6.1403, 5.53792, 2.60643, -6.16591", \ + "13.9987, 11.7396, 10.3768, 8.90625, 7.19058, 0.261595, -7.39258", \ + "15.6786, 14.9794, 13.6166, 11.0328, 10.4304, 3.50142, -1.27342", \ + "25.8938, 25.1946, 19.8342, 17.2504, 16.6481, 9.71907, 4.94423", \ + "37.281, 36.5819, 35.219, 29.7559, 28.0353, 21.1063, 12.334" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.76904, 4.51233, 2.0609, -1.50391, -6.80773, -14.9238, -25.9129", \ + "6.10547, 4.84876, 2.39733, 1.73993, -6.47273, -14.5888, -28.7142", \ + "6.75945, 5.50274, 7.03529, 2.39106, -5.82017, -13.9362, -28.0602", \ + "9.0625, 10.7274, 8.27063, 0.78125, -4.58482, -12.7009, -25.6875", \ + "14.1811, 8.92152, 6.46475, 5.81803, -2.3932, -14.5068, -25.4959", \ + "13.4505, 12.1885, 9.73171, 5.08748, -3.12375, -11.2398, -26.2264", \ + "19.5168, 17.4229, 14.9715, 9.15626, -1.05497, -13.1685, -32.13" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.4482, 13.419, 11.4294, 8.79639, 5.41889, 2.04433, -5.53101", \ + "14.5153, 13.486, 11.4964, 7.79284, 5.4859, 2.11134, -5.464", \ + "14.6784, 13.6491, 11.6595, 11.9534, 5.649, 2.60643, -5.3009", \ + "16.1914, 14.0916, 12.102, 9.53125, 10.089, 6.71443, -3.73047", \ + "20.4685, 19.4392, 17.4496, 13.7461, 11.4391, 8.06458, 0.489244", \ + "29.0269, 27.9977, 26.0081, 22.3045, 19.9976, 12.6255, 9.04769", \ + "37.5964, 36.5819, 35.219, 31.9922, 28.5671, 25.1925, 21.6147" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0601599, -0.0598248, -0.0604296, -0.0603671, -0.0605491, -0.0604356, -0.0600862" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0731224, 0.0737678, 0.0739801, 0.0734641, 0.0739453, 0.0736857, 0.0734017" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.100456, 0.0999927, 0.100894, 0.101039, 0.101287, 0.100892, 0.100732" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0876488, -0.0883267, -0.0889224, -0.0878445, -0.0890257, -0.0882714, -0.08779" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.060788, -0.061444, -0.0607709, -0.0618392, -0.0619117, -0.0613067, -0.0613559" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0841583, 0.0845881, 0.0841727, 0.0851983, 0.0848746, 0.0844193, 0.0838043" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0558103, 0.0561011, 0.0555081, 0.0561777, 0.0561003, 0.0554831, 0.0551123" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0370937, -0.0374023, -0.0369769, -0.0375317, -0.0372211, -0.0369425, -0.036715" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.217004, 0.217623, 0.233711, 0.29488, 0.462742, 0.84604, 1.65083" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.585979, 0.582477, 0.590543, 0.645717, 0.812988, 1.21112, 2.04033" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.580986, 0.582156, 0.597227, 0.658012, 0.826505, 1.20975, 2.01112" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.216931, 0.213378, 0.222647, 0.276398, 0.444511, 0.841591, 1.67215" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.22052, 0.222187, 0.240856, 0.30589, 0.477361, 0.864016, 1.67056" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.558058, 0.556499, 0.568045, 0.628107, 0.799071, 1.20101, 2.03673" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.565451, 0.566851, 0.585275, 0.650314, 0.822454, 1.20779, 2.01114" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.207894, 0.205661, 0.217916, 0.277351, 0.447782, 0.850283, 1.68614" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0613318, -0.0612273, -0.0620853, -0.0615526, -0.0613571, -0.0615878, -0.0610751" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0714431, 0.0724299, 0.072225, 0.0725538, 0.0725548, 0.0723862, 0.0723002" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0992633, 0.0993822, 0.100418, 0.100224, 0.0998382, 0.0999403, 0.0996101" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0883475, -0.0898554, -0.089023, -0.0893612, -0.0901188, -0.0892939, -0.0889278" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0612942, -0.061281, -0.0615328, -0.0616825, -0.0613169, -0.0612736, -0.0609664" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.07236, 0.0726663, 0.0727094, 0.0727903, 0.0731037, 0.0729598, 0.0727085" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0575141, 0.0574842, 0.0574814, 0.0576158, 0.0573836, 0.0572739, 0.056915" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0575141, -0.0574842, -0.0574814, -0.0576158, -0.0573836, -0.0572739, -0.056915" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0487425, -0.0520596, -0.0559062, -0.0572936, -0.0595843, -0.0596506, -0.059943" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.079582, 0.079726, 0.0804322, 0.0795929, 0.0801765, 0.0795784, 0.0796074" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104825, 0.102146, 0.101226, 0.0994234, 0.100489, 0.0990233, 0.0986911" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0813713, -0.0823689, -0.0837757, -0.0834016, -0.0846144, -0.0839868, -0.0834942" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0463228, -0.0503831, -0.0540888, -0.0566958, -0.0581996, -0.0592865, -0.0594102" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0803008, 0.0803482, 0.0811595, 0.0807511, 0.0810269, 0.0804344, 0.0803132" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104801, 0.102006, 0.100548, 0.100206, 0.0997045, 0.0990481, 0.0985379" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0838513, -0.0842813, -0.085384, -0.0851284, -0.0855781, -0.0847753, -0.0842583" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.61979; + rise_capacitance : 1.61431; + rise_capacitance_range (1.40167, 1.61431); + fall_capacitance : 1.61979; + fall_capacitance_range (1.35652, 1.61979); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-7.48779, -7.27222, -6.8357, -4.5581, -4.06613, 0.0277967, 9.59107", \ + "-7.88393, -7.66836, -7.23184, -6.3373, -4.46227, -0.368342, 9.19493", \ + "-8.6339, -8.41833, -7.9818, -7.08727, -5.21223, -1.11831, 8.44496", \ + "-8.60108, -9.74903, -9.3125, -6.99219, -2.54543, 1.54849, 8.24219", \ + "-9.24125, -9.02567, -8.58915, -7.69462, -5.81958, -1.72566, 7.83762", \ + "-7.79454, -7.57897, -7.14244, -6.24791, -4.37288, -0.278951, 9.28432", \ + "-4.90113, -4.68555, -4.24903, -2.08985, -1.47946, 2.61446, 12.1777" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.88129, 6.00668, 8.19181, 9.62647, 15.4671, 21.5985, 33.0466", \ + "4.30043, 5.42582, 7.61096, 7.72115, 14.8862, 21.0177, 32.4657", \ + "3.16416, 4.28955, 2.47718, 6.58487, 9.75245, 19.8814, 31.3294", \ + "-1.69922, 2.11874, 4.30387, 5.78125, 11.5791, 17.7106, 26.2891", \ + "-2.9413, -1.81591, 0.369221, 4.47691, 7.64449, 13.7759, 25.224", \ + "-9.18273, -8.05734, -5.87221, -1.76452, 1.40306, 11.532, 18.9826", \ + "-15.1541, -14.0287, -11.8436, -10.4981, -4.56832, 1.56312, 13.0112" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90674, 7.6097, 8.98945, 12.9297, 16.5353, 24.6427, 34.1589", \ + "7.03771, 7.74067, 9.12042, 11.7753, 16.6663, 24.7737, 34.2899", \ + "7.33836, 8.04132, 9.42107, 12.0759, 16.9669, 25.0743, 38.5881", \ + "9.37988, 12.7949, 14.1747, 14.1797, 21.7205, 25.8304, 36.4649", \ + "14.2235, 14.9265, 16.3062, 18.9611, 23.8521, 31.9595, 41.4757", \ + "20.9637, 25.6642, 27.0439, 29.6988, 34.5898, 42.6972, 52.2134", \ + "40.3552, 45.0557, 46.4354, 46.3281, 53.9813, 58.0912, 67.6074" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.423887, -0.187818, 0.286986, -1.38672, 3.21045, 7.30743, 16.1838", \ + "-0.80375, -0.56768, -0.0928766, 0.867394, 2.83058, 6.92756, 15.8039", \ + "-1.58592, -1.34985, -0.875049, 0.0852217, 2.04841, 6.14539, 15.0218", \ + "-5.87402, -7.00148, -2.52918, -4.14062, 0.394284, 4.49126, 10.4981", \ + "-10.9049, -10.6689, -10.1941, -9.2338, -7.27061, -3.17363, 5.70273", \ + "-19.6763, -19.4402, -18.9654, -18.0051, -16.0419, -15.9425, -7.06609", \ + "-42.9651, -38.7315, -38.2567, -40.0293, -39.3308, -39.2313, -34.3524" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90674, 7.6097, 8.98945, 12.9297, 16.5353, 24.6427, 34.1589", \ + "7.03771, 7.74067, 9.12042, 11.7753, 16.6663, 24.7737, 34.2899", \ + "7.33836, 8.04132, 9.42107, 12.0759, 16.9669, 25.0743, 38.5881", \ + "9.37988, 12.7949, 14.1747, 14.1797, 21.7205, 25.8304, 36.4649", \ + "14.2235, 14.9265, 16.3062, 18.9611, 23.8521, 31.9595, 41.4757", \ + "20.9637, 25.6642, 27.0439, 29.6988, 34.5898, 42.6972, 52.2134", \ + "40.3552, 45.0557, 46.4354, 46.3281, 53.9813, 58.0912, 67.6074" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.88129, 6.00668, 8.19181, 9.62647, 15.4671, 21.5985, 33.0466", \ + "4.30043, 5.42582, 7.61096, 7.72115, 14.8862, 21.0177, 32.4657", \ + "3.16416, 4.28955, 2.47718, 6.58487, 9.75245, 19.8814, 31.3294", \ + "-1.69922, 2.11874, 4.30387, 5.78125, 11.5791, 17.7106, 26.2891", \ + "-2.9413, -1.81591, 0.369221, 4.47691, 7.64449, 13.7759, 25.224", \ + "-9.18273, -8.05734, -5.87221, -1.76452, 1.40306, 11.532, 18.9826", \ + "-15.1541, -14.0287, -11.8436, -10.4981, -4.56832, 1.56312, 13.0112" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.9438, 14.2691, 12.9576, 11.5771, 10.1526, 3.92536, -2.77955", \ + "14.9752, 14.3004, 12.989, 10.5184, 10.1839, 3.95672, -2.74819", \ + "15.0318, 14.3571, 13.0456, 14.5726, 10.2406, 8.01086, -2.69155", \ + "16.1914, 18.4436, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, 1.08734", \ + "18.9725, 14.3002, 12.9888, 14.5157, 10.1837, 3.95651, -2.7484", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1627, 10.1326, 8.11628, 2.0265, -2.75522, -13.9795, -25.2133", \ + "12.1232, 11.0931, 9.07672, 5.21925, -1.79478, -13.0191, -24.2528", \ + "13.9844, 8.95681, 6.94046, 3.08298, 0.0664563, -11.1579, -22.3916", \ + "14.4707, 12.4406, 10.4243, 8.13358, -0.447232, -7.67405, -21.7773", \ + "19.4837, 18.4536, 12.4398, 8.5823, 5.56578, -5.65854, -16.8923", \ + "23.6938, 22.6637, 20.6473, 16.7899, 9.77585, -1.44847, -12.6822", \ + "32.8301, 31.8, 29.7837, 23.0469, 18.9122, 7.68788, -3.54585" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.03809, 2.90589, 0.693716, -2.4707, -7.11953, -14.9703, -29.2947", \ + "4.09433, 2.96213, 0.749963, -3.46548, -7.06328, -14.914, -29.2385", \ + "4.17656, 3.04437, 0.832194, -3.38325, -6.98105, -14.8318, -29.1563", \ + "5.25147, 3.08777, 0.875602, -2.22656, -6.93764, -18.7859, -31.9922", \ + "3.82257, 2.69037, 0.478201, -3.73724, -11.3325, -19.1833, -33.5078", \ + "1.09091, -0.0412904, -2.25346, -6.46891, -14.0642, -25.9124, -40.2369", \ + "-8.12237, -9.25457, -11.4667, -17.6797, -27.275, -39.1232, -53.4477" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.166, 9.47176, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "10.53, 9.83574, 8.48702, 5.9487, 5.50613, -0.827776, -7.30814", \ + "11.2959, 10.6017, 9.25296, 6.71465, 6.27208, -0.0618302, -6.54219", \ + "14.0503, 16.283, 14.9343, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.9524, 20.2582, 18.9094, 16.3711, 15.9285, 9.59464, 3.11427", \ + "35.3306, 34.6364, 33.2877, 30.7493, 26.3093, 19.9754, 13.495", \ + "61.8161, 61.1219, 55.7756, 54.3555, 48.7972, 46.4608, 39.9805" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.9438, 14.2691, 12.9576, 11.5771, 10.1526, 3.92536, -2.77955", \ + "14.9752, 14.3004, 12.989, 10.5184, 10.1839, 3.95672, -2.74819", \ + "15.0318, 14.3571, 13.0456, 14.5726, 10.2406, 8.01086, -2.69155", \ + "16.1914, 18.4436, 13.1346, 11.7969, 10.3296, 8.09986, -1.47461", \ + "18.8107, 18.136, 16.8245, 14.3539, 10.022, 7.79225, 1.08734", \ + "18.9725, 14.3002, 12.9888, 14.5157, 10.1837, 3.95651, -2.7484", \ + "12.9675, 12.2928, 10.9813, 9.62891, 8.17626, 1.94905, -4.75586" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "11.1627, 10.1326, 8.12303, 6.65527, 5.14214, -1.19176, -7.67213", \ + "12.1232, 11.0931, 9.07672, 5.9487, 5.50613, -0.827776, -7.30814", \ + "13.9844, 10.6017, 9.25296, 6.71465, 6.27208, -0.0618302, -6.54219", \ + "14.4707, 16.283, 14.9343, 9.53125, 7.95587, 1.62196, -3.73047", \ + "20.9524, 20.2582, 18.9094, 16.3711, 15.9285, 9.59464, 3.11427", \ + "35.3306, 34.6364, 33.2877, 30.7493, 26.3093, 19.9754, 13.495", \ + "61.8161, 61.1219, 55.7756, 54.3555, 48.7972, 46.4608, 39.9805" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.100377, 0.114035, 0.158719, 0.277944, 0.576694, 1.23132, 2.59971" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.491378, 0.513397, 0.589463, 0.760084, 1.11743, 1.8312, 3.25418" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.456502, 0.469506, 0.514809, 0.637468, 0.933673, 1.58972, 2.95695" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127853, 0.150158, 0.226301, 0.396142, 0.752203, 1.46573, 2.88635" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.511334, 0.524137, 0.566528, 0.673606, 0.915299, 1.42992, 2.50255" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.663227, 0.675476, 0.722953, 0.846022, 1.11142, 1.66934, 2.81275" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.727414, 0.741258, 0.7834, 0.890673, 1.13237, 1.64868, 2.72075" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.442591, 0.455104, 0.501862, 0.624332, 0.889288, 1.44637, 2.59152" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.225024, 0.240031, 0.300232, 0.473874, 0.885869, 1.77505, 3.60307" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.798038, 0.818273, 0.891422, 1.09089, 1.55402, 2.53533, 4.52326" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.790012, 0.806225, 0.866492, 1.03995, 1.45381, 2.34234, 4.16873" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.231546, 0.252821, 0.324914, 0.523454, 0.986343, 1.96653, 3.95687" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0474979, -0.0338521, 0.00884559, 0.115628, 0.3521, 0.84844, 1.86231" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.404617, 0.424267, 0.475865, 0.596852, 0.854493, 1.38372, 2.45223" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.404379, 0.418212, 0.459691, 0.569902, 0.806989, 1.30192, 2.3153" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0453445, -0.0266289, 0.0249706, 0.145656, 0.402591, 0.930442, 1.99984" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0136213, -0.00476059, 0.0339267, 0.137969, 0.375286, 0.870852, 1.88553" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.404043, 0.416624, 0.46294, 0.578991, 0.832179, 1.3592, 2.42396" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.421654, 0.430344, 0.469771, 0.575055, 0.811887, 1.30914, 2.32181" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0495501, -0.0332219, 0.0167569, 0.137461, 0.394092, 0.921046, 1.98928" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0486601, -0.0352266, 0.00765559, 0.114363, 0.352038, 0.848382, 1.86195" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.399517, 0.418634, 0.471179, 0.592551, 0.851449, 1.38057, 2.44846" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.402921, 0.41655, 0.46079, 0.568045, 0.803594, 1.30149, 2.31438" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0489884, -0.0317009, 0.0209848, 0.141746, 0.398578, 0.927272, 1.99605" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.68821; + rise_capacitance : 0.682263; + rise_capacitance_range (0.559277, 0.682263); + fall_capacitance : 0.68821; + fall_capacitance_range (0.581292, 0.68821); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.01611, 4.76607, 10.236, 10.3589, 14.3038, 23.0057, 33.3753", \ + "4.11097, 4.86093, 10.3309, 13.1658, 14.3986, 23.1006, 33.4701", \ + "4.32145, 5.07141, 10.5414, 13.3763, 14.6091, 23.3111, 33.6806", \ + "6.13037, 9.5729, 11.0453, 11.25, 19.1106, 23.8151, 35.3125", \ + "10.163, 10.913, 12.3854, 15.2204, 20.4507, 25.1552, 39.5222", \ + "14.1716, 14.9216, 16.394, 19.229, 24.4593, 29.1638, 43.5308", \ + "23.5051, 24.2551, 25.7275, 30.5625, 33.7928, 42.4948, 52.8643" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-3.15094, -2.48435, -1.18945, -1.38672, 1.51079, 3.58585, 13.9279", \ + "-3.5437, -2.87712, -1.58222, -3.14301, 1.11802, 3.19308, 13.5352", \ + "-4.33878, -3.67219, -2.37729, -3.93809, 0.322948, 2.39801, 12.7401", \ + "-8.60108, -5.30051, -4.00561, -4.14062, -1.30537, 0.769689, 8.24219", \ + "-13.3739, -12.7073, -11.4124, -8.97571, -4.71467, -2.63961, 3.70496", \ + "-20.8031, -20.1366, -18.8417, -16.405, -16.1414, -14.0664, -7.72179", \ + "-34.1068, -33.4402, -32.1453, -32.4414, -29.445, -27.37, -25.0229" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90674, 7.6097, 8.98945, 12.9297, 16.5353, 24.6427, 34.1589", \ + "7.05872, 7.76168, 9.14143, 11.7963, 16.6873, 24.7947, 34.3109", \ + "7.38038, 8.08334, 9.46309, 12.1179, 17.0089, 25.1163, 34.6326", \ + "9.37988, 8.79744, 10.1772, 14.1797, 17.723, 25.8304, 36.4649", \ + "9.80582, 14.5063, 15.886, 18.5409, 23.4319, 27.5418, 41.0555", \ + "18.3586, 19.0615, 20.4413, 23.0961, 27.9871, 32.097, 45.6108", \ + "28.0017, 28.7047, 30.0844, 33.9746, 37.6303, 41.7402, 51.2564" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.43793, 1.04998, -1.75285, 1.86279, 4.59136, 10.8535, 15.846", \ + "0.0218775, 0.633923, -2.16891, 0.102747, 4.17531, 10.4375, 15.4299", \ + "-0.80311, -0.191065, -2.99389, -0.722241, 3.35032, 5.61498, 14.6049", \ + "-5.07813, -1.81257, -0.617904, -0.9375, 1.72882, 3.99347, 14.1016", \ + "-9.55127, -8.93923, -7.74456, -5.4729, -1.40034, 0.864322, 9.85425", \ + "-11.3566, -10.7446, -13.5474, -11.2757, -7.20318, -4.93852, 0.0539094", \ + "-21.1404, -20.5284, -19.3337, -19.7949, -16.987, -14.7224, -13.7274" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.90674, 7.6097, 10.236, 12.9297, 16.5353, 24.6427, 34.1589", \ + "7.05872, 7.76168, 10.3309, 13.1658, 16.6873, 24.7947, 34.3109", \ + "7.38038, 8.08334, 10.5414, 13.3763, 17.0089, 25.1163, 34.6326", \ + "9.37988, 9.5729, 11.0453, 14.1797, 19.1106, 25.8304, 36.4649", \ + "10.163, 14.5063, 15.886, 18.5409, 23.4319, 27.5418, 41.0555", \ + "18.3586, 19.0615, 20.4413, 23.0961, 27.9871, 32.097, 45.6108", \ + "28.0017, 28.7047, 30.0844, 33.9746, 37.6303, 42.4948, 52.8643" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.43793, 1.04998, -1.18945, 1.86279, 4.59136, 10.8535, 15.846", \ + "0.0218775, 0.633923, -1.58222, 0.102747, 4.17531, 10.4375, 15.4299", \ + "-0.80311, -0.191065, -2.37729, -0.722241, 3.35032, 5.61498, 14.6049", \ + "-5.07813, -1.81257, -0.617904, -0.9375, 1.72882, 3.99347, 14.1016", \ + "-9.55127, -8.93923, -7.74456, -5.4729, -1.40034, 0.864322, 9.85425", \ + "-11.3566, -10.7446, -13.5474, -11.2757, -7.20318, -4.93852, 0.0539094", \ + "-21.1404, -20.5284, -19.3337, -19.7949, -16.987, -14.7224, -13.7274" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.9787, 6.01057, 4.11345, -2.4707, -6.17333, -16.9666, -28.533", \ + "7.42482, 6.45669, 4.55957, 0.921896, -5.72721, -16.5204, -28.0869", \ + "8.27918, 7.31105, 5.41393, 1.77626, -4.87285, -15.6661, -27.2325", \ + "7.61523, 8.86823, 6.97111, 0.46875, -3.31567, -14.1089, -28.5449", \ + "9.91979, 8.95166, 7.05454, 3.41686, -3.23224, -14.0255, -29.5894", \ + "10.0866, 9.11852, 7.2214, 3.58372, -3.06539, -13.8586, -29.4226", \ + "6.42285, 5.45472, 3.5576, 1.15626, -6.72918, -17.5224, -33.0864" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 13.3681, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "14.7803, 10.1119, 8.80432, 10.3236, 5.91484, 3.28613, -5.19891", \ + "16.2473, 11.5789, 10.2713, 11.7905, 7.3818, 4.75309, -3.73195", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "24.6089, 19.9405, 18.6329, 16.1546, 15.7434, 9.11721, 0.63217", \ + "34.584, 33.9131, 28.608, 26.1297, 25.7185, 19.0923, 10.6073", \ + "50.51, 49.839, 48.5314, 43.1738, 41.6445, 35.0182, 30.5307" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.87451, 2.76639, 0.601664, -2.4707, -6.94677, -14.4974, -28.4031", \ + "4.24639, 3.13827, 0.973538, -3.14985, -6.57489, -18.123, -28.0313", \ + "4.96232, 3.8542, 1.68948, -2.43392, -5.85896, -17.4071, -27.3153", \ + "7.31445, 5.17484, 3.01011, 0, -4.53832, -16.0864, -27.9922", \ + "8.47926, 7.37114, 5.20641, 1.08302, -6.33952, -13.8901, -27.7959", \ + "11.5298, 10.4216, 8.25692, 4.13352, -3.28902, -14.8371, -28.7429", \ + "13.1955, 12.0874, 9.92266, 2.91993, -5.62078, -17.1689, -35.0721" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.0459, 6.40308, 5.15147, 3.83545, 2.59244, -3.60807, -11.2927", \ + "7.43813, 6.79531, 5.54371, 7.17416, 2.98467, -3.21584, -10.9005", \ + "12.2253, 7.58498, 6.33337, 7.96382, 3.77433, -2.42617, -10.1108", \ + "10.8789, 13.1826, 11.931, 6.67969, 5.37442, -0.82609, -7.39258", \ + "17.1086, 16.4658, 15.2142, 12.8471, 8.65763, 6.45462, -1.23004", \ + "24.0072, 23.3644, 22.1128, 19.7457, 15.5562, 13.3532, 5.66855", \ + "39.133, 38.4902, 37.2386, 31.9922, 30.682, 24.4815, 16.7969" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.9787, 6.01057, 4.11345, -2.4707, -6.17333, -14.4974, -28.4031", \ + "7.42482, 6.45669, 4.55957, 0.921896, -5.72721, -16.5204, -28.0313", \ + "8.27918, 7.31105, 5.41393, 1.77626, -4.87285, -15.6661, -27.2325", \ + "7.61523, 8.86823, 6.97111, 0.46875, -3.31567, -14.1089, -27.9922", \ + "9.91979, 8.95166, 7.05454, 3.41686, -3.23224, -13.8901, -27.7959", \ + "11.5298, 10.4216, 8.25692, 4.13352, -3.06539, -13.8586, -28.7429", \ + "13.1955, 12.0874, 9.92266, 2.91993, -5.62078, -17.1689, -33.0864" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "14.039, 13.3681, 8.06298, 6.65527, 5.1735, 2.54479, -5.94025", \ + "14.7803, 10.1119, 8.80432, 10.3236, 5.91484, 3.28613, -5.19891", \ + "16.2473, 11.5789, 10.2713, 11.7905, 7.3818, 4.75309, -3.73195", \ + "16.1914, 14.4499, 13.1423, 11.7969, 10.2528, 3.62664, -3.73047", \ + "24.6089, 19.9405, 18.6329, 16.1546, 15.7434, 9.11721, 0.63217", \ + "34.584, 33.9131, 28.608, 26.1297, 25.7185, 19.0923, 10.6073", \ + "50.51, 49.839, 48.5314, 43.1738, 41.6445, 35.0182, 30.5307" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.199114, 0.200779, 0.216789, 0.273333, 0.420368, 0.747236, 1.42496" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.49661, 0.494422, 0.507217, 0.56401, 0.723509, 1.07526, 1.79573" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.474205, 0.475413, 0.491493, 0.547959, 0.695785, 1.0227, 1.69825" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.220962, 0.218017, 0.231186, 0.288234, 0.447491, 0.799146, 1.52038" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00390519, 0.00391678, 0.00377179, 0.00377442, 0.00364737, 0.003542, 0.00322621" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0124838, 0.012588, 0.0126643, 0.0125846, 0.012519, 0.0124715, 0.0123667" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.129099, 0.128658, 0.128988, 0.128856, 0.128776, 0.127818, 0.125058" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.100149, -0.100734, -0.101578, -0.101814, -0.101722, -0.100565, -0.100075" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.194339, 0.198841, 0.220273, 0.287421, 0.452816, 0.815029, 1.55683" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.434216, 0.434624, 0.453942, 0.521162, 0.696057, 1.07927, 1.856" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.42592, 0.430163, 0.45078, 0.518427, 0.683269, 1.04654, 1.78669" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.202132, 0.202361, 0.221413, 0.288711, 0.463525, 0.846271, 1.62403" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0737731, -0.0736427, -0.0750486, -0.0754055, -0.0755843, -0.0746799, -0.0748308" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0969361, 0.0971066, 0.0973722, 0.0983423, 0.0982886, 0.0979333, 0.0974318" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0424832, 0.042391, 0.0425923, 0.0425046, 0.0423459, 0.041956, 0.0416858" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0267862, -0.0272434, -0.0273635, -0.027801, -0.0273918, -0.0270973, -0.0268276" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621756, -0.0661776, -0.0704219, -0.0722625, -0.0733921, -0.0732808, -0.0731406" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.089033, 0.0891496, 0.0900672, 0.0896879, 0.0899037, 0.0902331, 0.089893" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106095, 0.102131, 0.100671, 0.0993871, 0.098514, 0.0971386, 0.0964323" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0792627, -0.0797324, -0.0816957, -0.0816094, -0.0816765, -0.0814072, -0.0809896" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00908595, -0.00916946, -0.00913572, -0.00926516, -0.00920816, -0.00906028, -0.00879883" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.019311, 0.0193607, 0.0194428, 0.0195239, 0.0194846, 0.0194632, 0.0194197" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.108281, 0.109162, 0.108695, 0.109373, 0.109621, 0.108886, 0.108112" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.095678, -0.0968017, -0.0976248, -0.0976242, -0.0974405, -0.0966404, -0.0959296" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0635993, -0.0678544, -0.0702875, -0.0723132, -0.0722016, -0.0729146, -0.0729426" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0893398, 0.0893521, 0.0897225, 0.0897053, 0.090322, 0.0899294, 0.089914" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104309, 0.101978, 0.100042, 0.099313, 0.0971636, 0.0970871, 0.0963648" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0818362, -0.0821089, -0.0825742, -0.0824391, -0.0825607, -0.0816776, -0.0816378" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0747548, -0.0762491, -0.0764776, -0.0762645, -0.0760425, -0.0760487, -0.0759237" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0868613, 0.0871672, 0.0876612, 0.0876205, 0.0882763, 0.0880259, 0.0878614" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0463137, 0.0469544, 0.0466615, 0.0468201, 0.0465115, 0.0462297, 0.0459743" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0463137, -0.0469544, -0.0466615, -0.0468201, -0.0465115, -0.0462297, -0.0459743" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } + cell (SDFLx4_ASAP7_75t_SL) { + area : 0.45198; + pg_pin (VDD) { + pg_type : primary_power; + voltage_name : "VDD"; + } + pg_pin (VSS) { + pg_type : primary_ground; + voltage_name : "VSS"; + } + leakage_power () { + value : 47130.6; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36933.8; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 42187.8; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 45149.6; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47930.9; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37734.1; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47982.2; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37785.4; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 47129; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36932.2; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39828.7; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 42790.6; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 43113.9; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 46075.8; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40755.5; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 43717.3; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32315.4; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39151; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34100.7; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37228.1; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 33242.1; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40077.9; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 33239; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 40075.1; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 32312.7; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39148.2; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 34098.3; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 36960.9; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 35027.6; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 38154.9; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 35025.2; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 37887.8; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + when : "(!CLK * !D * !SE * !SI * QN)"; + related_pg_pin : VSS; + } + leakage_power () { + value : 39413.2; + related_pg_pin : VDD; + } + leakage_power () { + value : 0; + related_pg_pin : VSS; + } + pin (QN) { + direction : output; + function : "IQN"; + power_down_function : "(!VDD) + (VSS)"; + related_ground_pin : VSS; + related_power_pin : VDD; + max_capacitance : 184.32; + output_voltage : default_VDD_VSS_output; + timing () { + related_pin : "CLK"; + timing_sense : non_unate; + timing_type : falling_edge; + cell_rise (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "24.215, 26.5227, 30.516, 37.4721, 50.2364, 74.8831, 123.917", \ + "25.2695, 27.5758, 31.57, 38.5229, 51.2878, 75.9353, 124.969", \ + "27.3325, 29.635, 33.6247, 40.5787, 53.3417, 77.9882, 127.021", \ + "30.5496, 32.8437, 36.8277, 43.7817, 56.544, 81.1915, 130.224", \ + "35.8724, 38.1666, 42.148, 49.0877, 61.8489, 86.5325, 135.535", \ + "44.1654, 46.4528, 50.414, 57.3559, 70.1218, 94.7685, 143.904", \ + "57.3616, 59.6654, 63.6522, 70.5791, 83.3339, 107.974, 157.004" \ + ); + } + rise_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "12.0828, 15.27, 21.9528, 35.6823, 63.7321, 121.121, 237.753", \ + "12.077, 15.2733, 21.9575, 35.6826, 63.7325, 121.121, 237.752", \ + "12.0878, 15.2819, 21.9658, 35.6902, 63.7366, 121.123, 237.753", \ + "12.1509, 15.3313, 22.0107, 35.721, 63.7661, 121.14, 237.759", \ + "12.2572, 15.4447, 22.1378, 35.9573, 63.827, 121.204, 237.783", \ + "12.6118, 15.769, 22.3916, 36.1941, 64.2116, 121.494, 237.929", \ + "13.4228, 16.5251, 23.0419, 36.534, 64.3305, 122.057, 238.773" \ + ); + } + cell_fall (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "24.6031, 26.9019, 30.9024, 37.6751, 49.6662, 72.1689, 116.313", \ + "25.6598, 27.9564, 31.9591, 38.7309, 50.7207, 73.2139, 117.362", \ + "27.6161, 29.9124, 33.9128, 40.6862, 52.6712, 75.1689, 119.318", \ + "30.8361, 33.1313, 37.1254, 43.9033, 55.877, 78.3707, 122.518", \ + "35.995, 38.2805, 42.2725, 49.0449, 61.0302, 83.5265, 127.683", \ + "44.1902, 46.491, 50.4937, 57.2625, 69.2445, 91.7122, 135.905", \ + "56.8864, 59.2005, 63.2145, 69.9667, 81.8985, 104.386, 148.497" \ + ); + } + fall_transition (delay_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "11.4183, 14.3972, 20.2743, 32.1604, 56.1329, 104.849, 204.149", \ + "11.4124, 14.3947, 20.2704, 32.1569, 56.1317, 104.869, 204.149", \ + "11.3926, 14.3758, 20.2578, 32.1481, 56.1157, 104.855, 204.148", \ + "11.4036, 14.3877, 20.2625, 32.171, 56.128, 104.87, 204.15", \ + "11.4215, 14.4068, 20.3589, 32.1685, 56.1255, 104.871, 204.182", \ + "11.7644, 14.7201, 20.5376, 32.5076, 56.3651, 105.487, 204.186", \ + "12.3956, 15.2931, 20.9882, 32.6544, 56.5061, 104.994, 205.003" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VDD; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.35912, 2.22657, 2.09346, 1.99783, 1.9348, 1.89293, 1.86663", \ + "2.37425, 2.24081, 2.1072, 2.01188, 1.94878, 1.90739, 1.88096", \ + "2.42337, 2.28859, 2.15502, 2.0584, 1.99493, 1.95316, 1.92697", \ + "2.56448, 2.42516, 2.28894, 2.18979, 2.12491, 2.08277, 2.05589", \ + "2.87511, 2.73713, 2.59802, 2.51384, 2.42669, 2.38765, 2.35159", \ + "3.54952, 3.40766, 3.25517, 3.16253, 3.15327, 3.05762, 3.0122", \ + "4.94659, 4.79363, 4.63036, 4.49396, 4.41376, 4.49839, 4.39145" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.64706, 2.50806, 2.35586, 2.24148, 2.17164, 2.12825, 2.10123", \ + "2.66001, 2.52114, 2.36901, 2.25499, 2.18513, 2.14174, 2.11484", \ + "2.70747, 2.56895, 2.41725, 2.303, 2.23376, 2.19094, 2.16416", \ + "2.83888, 2.69804, 2.54698, 2.43435, 2.36354, 2.32019, 2.29432", \ + "3.13609, 2.99448, 2.8429, 2.72445, 2.65406, 2.61503, 2.59039", \ + "3.78029, 3.63739, 3.47694, 3.35189, 3.27393, 3.23042, 3.20027", \ + "5.09886, 4.94706, 4.76943, 4.62813, 4.53912, 4.48604, 4.45638" \ + ); + } + } + internal_power () { + related_pin : "CLK"; + related_pg_pin : VSS; + rise_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.14534, 2.01145, 1.87833, 1.78273, 1.71973, 1.67799, 1.65186", \ + "2.15852, 2.02489, 1.8911, 1.79569, 1.73258, 1.69127, 1.66502", \ + "2.21015, 2.07576, 1.94257, 1.84619, 1.78288, 1.74128, 1.71532", \ + "2.34887, 2.21108, 2.07615, 1.97795, 1.91301, 1.87122, 1.8448", \ + "2.66061, 2.52232, 2.38187, 2.28088, 2.21373, 2.16892, 2.13892", \ + "3.33414, 3.19115, 3.04116, 2.92845, 2.85367, 2.80367, 2.77365", \ + "4.7321, 4.57894, 4.41275, 4.27536, 4.17926, 4.11792, 4.07874" \ + ); + } + fall_power (power_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("2.88, 5.76, 11.52, 23.04, 46.08, 92.16, 184.32"); + values ( \ + "2.41361, 2.27463, 2.12244, 2.00809, 1.93814, 1.89481, 1.8673", \ + "2.42659, 2.28781, 2.13578, 2.02187, 1.95198, 1.9087, 1.88133", \ + "2.47444, 2.33579, 2.18399, 2.06976, 2.00045, 1.95753, 1.93046", \ + "2.60634, 2.46576, 2.31402, 2.1982, 2.12852, 2.0852, 2.05745", \ + "2.90315, 2.7609, 2.62575, 2.49147, 2.42081, 2.37532, 2.33484", \ + "3.54825, 3.4042, 3.24886, 3.1303, 3.06294, 3.09331, 2.98197", \ + "4.8659, 4.71313, 4.53752, 4.39317, 4.3283, 4.29702, 4.34779" \ + ); + } + } + } + pin (CLK) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + clock : true; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.75193; + rise_capacitance : 0.751914; + rise_capacitance_range (0.625301, 0.751914); + fall_capacitance : 0.75193; + fall_capacitance_range (0.607482, 0.75193); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond6"; + timing_type : min_pulse_width; + when : "(D * SE * SI) + (D * !SE) + (!D * SE * SI)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "23.1832, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3105, 18.3105, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond7"; + timing_type : min_pulse_width; + when : "(D * SE * !SI) + (!D * SE * !SI) + (!D * !SE)"; + rise_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "18.3028, 15.8691, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + fall_constraint (mpw_constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.752, 20.752, 20.752, 40.2832, 80.5664, 161.133, 321.045" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05572, 1.06798, 1.10698, 1.21329, 1.4669, 2.01139, 3.12837" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.31931, 1.33137, 1.36947, 1.4758, 1.73086, 2.27442, 3.39181" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.511721, 0.523, 0.5658, 0.67067, 0.921955, 1.46811, 2.58601" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.738646, 0.754847, 0.803937, 0.927151, 1.20358, 1.78733, 2.97572" \ + ); + } + } + internal_power () { + when : "(D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.75024, 0.762086, 0.804538, 0.908931, 1.16047, 1.70675, 2.82386" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.505416, 0.521977, 0.571556, 0.695047, 0.97145, 1.55471, 2.74292" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.567746, 0.579523, 0.622415, 0.727269, 0.981001, 1.52734, 2.64713" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726314, 0.742684, 0.792206, 0.917998, 1.19444, 1.78081, 2.97053" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.764078, 0.775982, 0.818675, 0.922441, 1.17683, 1.72365, 2.84329" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.510682, 0.527229, 0.577301, 0.703251, 0.979407, 1.56502, 2.75489" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05156, 1.06464, 1.11131, 1.22889, 1.50812, 2.10377, 3.32464" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.20789, 1.22118, 1.26665, 1.38407, 1.66373, 2.26009, 3.48118" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.11157, 1.12285, 1.16259, 1.27059, 1.5232, 2.06654, 3.18373" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.46953, 1.48004, 1.518, 1.62593, 1.87982, 2.42237, 3.53955" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525587, 0.536713, 0.579517, 0.684428, 0.935893, 1.48143, 2.60046" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.730723, 0.746933, 0.796027, 0.919106, 1.19669, 1.77956, 2.96811" \ + ); + } + } + internal_power () { + when : "(D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.759801, 0.771175, 0.813621, 0.917902, 1.16993, 1.715, 2.83329" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502678, 0.519239, 0.568834, 0.692453, 0.969477, 1.55212, 2.74055" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.11332, 1.1251, 1.16513, 1.27167, 1.52431, 2.06773, 3.18489" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.50585, 1.51783, 1.55621, 1.66238, 1.91619, 2.45938, 3.57538" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.525291, 0.536789, 0.57958, 0.683086, 0.936645, 1.48099, 2.60052" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.730733, 0.746566, 0.79622, 0.920641, 1.19841, 1.78047, 2.9682" \ + ); + } + } + internal_power () { + when : "(D * !SE * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.760757, 0.771522, 0.813922, 0.916821, 1.17019, 1.71489, 2.83346" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.502599, 0.519269, 0.568863, 0.693381, 0.97016, 1.55266, 2.74063" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05321, 1.06439, 1.10301, 1.21031, 1.46354, 2.00851, 3.12491" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.31703, 1.328, 1.36532, 1.47284, 1.72676, 2.27158, 3.38785" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.511346, 0.523009, 0.565783, 0.670672, 0.923036, 1.46815, 2.58737" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.738607, 0.754757, 0.804043, 0.927985, 1.20423, 1.78812, 2.97573" \ + ); + } + } + internal_power () { + when : "(!D * SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.750176, 0.762093, 0.804563, 0.908939, 1.16147, 1.70677, 2.82573" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.50533, 0.521932, 0.571562, 0.695564, 0.972342, 1.55515, 2.74296" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.553128, 0.564868, 0.605746, 0.712367, 0.965872, 1.51212, 2.63414" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.72647, 0.742958, 0.793157, 0.915641, 1.19432, 1.78047, 2.96989" \ + ); + } + } + internal_power () { + when : "(!D * !SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.758615, 0.770316, 0.810842, 0.916956, 1.17133, 1.71753, 2.8397" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.510435, 0.527457, 0.578176, 0.701053, 0.979372, 1.56473, 2.75434" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.977026, 0.989978, 1.03894, 1.15716, 1.44037, 2.04326, 3.27601" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.17722, 1.19046, 1.23863, 1.35633, 1.64049, 2.24351, 3.47615" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.567938, 0.579774, 0.622645, 0.72729, 0.981256, 1.52757, 2.64738" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.726586, 0.742941, 0.792454, 0.918318, 1.19471, 1.78105, 2.97076" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.759212, 0.771171, 0.813823, 0.917907, 1.17194, 1.71879, 2.83852" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.513129, 0.529627, 0.579688, 0.705909, 0.981817, 1.56736, 2.75719" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.05178, 1.06483, 1.11235, 1.22911, 1.50827, 2.10395, 3.32482" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + internal_power () { + when : "(!D * !SE * SI * !QN)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "1.19824, 1.21142, 1.25853, 1.37432, 1.65393, 2.25038, 3.47154" \ + ); + } + fall_power (scalar) { + values ( \ + "0" \ + ); + } + } + } + pin (D) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.696453; + rise_capacitance : 0.68893; + rise_capacitance_range (0.576234, 0.68893); + fall_capacitance : 0.696453; + fall_capacitance_range (0.621365, 0.696453); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : hold_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.73334, 6.62781, 4.37456, 8.8916, 13.5889, 18.5408, 28.9978", \ + "1.48354, 6.37551, 4.12226, 7.43203, 13.3366, 18.2885, 28.7455", \ + "5.01546, 5.90993, 7.65419, 6.96645, 12.871, 17.8229, 28.2799", \ + "1.45019, 5.13489, 6.87914, 7.46094, 12.096, 21.0454, 28.6523", \ + "1.81491, 6.70687, 8.45113, 7.76339, 13.668, 18.6198, 29.0769", \ + "4.95888, 5.85334, 7.5976, 10.9074, 16.8119, 21.7638, 32.2208", \ + "15.2443, 16.1388, 17.883, 19.1953, 23.0999, 28.0518, 38.5088" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-9.96826, -9.88792, -5.72026, -8.11279, -4.43436, -2.01323, 1.25416", \ + "-10.3698, -10.2895, -6.1218, -5.74364, -4.8359, -2.41476, 0.85262", \ + "-11.1614, -11.0811, -6.91344, -6.53527, -5.62754, -3.2064, 0.0609811", \ + "-11.4526, -8.62113, -8.45097, -10.7812, -7.16507, -4.74394, 0.523443", \ + "-15.5911, -15.5107, -11.3431, -10.9649, -10.0572, -7.63606, -4.36868", \ + "-20.6435, -20.5632, -16.3955, -16.0173, -15.1096, -16.686, -13.4186", \ + "-27.8211, -27.7407, -27.5706, -26.0156, -26.2846, -23.8635, -24.5936" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : hold_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.60883, 6.52319, 8.30513, 8.8916, 13.689, 18.7061, 28.7537", \ + "5.75471, 6.66907, 8.45101, 7.83021, 13.8349, 18.852, 28.8995", \ + "6.05004, 6.96441, 8.74634, 8.12554, 14.1302, 19.1473, 29.1949", \ + "3.86475, 7.56933, 5.35377, 10, 14.7352, 19.7523, 30.9473", \ + "3.92441, 4.83877, 6.62071, 9.99741, 16.0021, 21.0192, 31.0667", \ + "6.68661, 11.5985, 9.38291, 12.7596, 18.7643, 23.7814, 33.8289", \ + "17.1218, 18.0361, 19.8181, 20.5312, 25.202, 30.2191, 40.2666" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.85992, -4.49663, -3.79103, -5.25391, -4.14198, -0.845513, 4.37714", \ + "-5.19067, -4.82739, -4.12178, -6.79193, -4.47273, -1.17627, 4.04639", \ + "-9.8459, -5.48511, -4.7795, -7.44966, -5.13046, -1.83399, 3.38867", \ + "-9.93896, -10.783, -10.0773, -7.5, -6.4308, -3.13433, -0.781245", \ + "-13.6865, -13.3232, -12.6176, -11.2902, -8.97102, -5.67456, -0.4519", \ + "-18.5251, -18.1618, -17.4562, -16.1289, -13.8097, -10.5132, -9.28804", \ + "-23.2376, -22.8743, -22.1687, -23.6621, -22.5197, -19.2232, -17.998" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "5.73334, 6.62781, 8.30513, 8.8916, 13.689, 18.7061, 28.9978", \ + "5.75471, 6.66907, 8.45101, 7.83021, 13.8349, 18.852, 28.8995", \ + "6.05004, 6.96441, 8.74634, 8.12554, 14.1302, 19.1473, 29.1949", \ + "3.86475, 7.56933, 6.87914, 10, 14.7352, 21.0454, 30.9473", \ + "3.92441, 6.70687, 8.45113, 9.99741, 16.0021, 21.0192, 31.0667", \ + "6.68661, 11.5985, 9.38291, 12.7596, 18.7643, 23.7814, 33.8289", \ + "17.1218, 18.0361, 19.8181, 20.5312, 25.202, 30.2191, 40.2666" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.85992, -4.49663, -3.79103, -5.25391, -4.14198, -0.845513, 4.37714", \ + "-5.19067, -4.82739, -4.12178, -5.74364, -4.47273, -1.17627, 4.04639", \ + "-9.8459, -5.48511, -4.7795, -6.53527, -5.13046, -1.83399, 3.38867", \ + "-9.93896, -8.62113, -8.45097, -7.5, -6.4308, -3.13433, 0.523443", \ + "-13.6865, -13.3232, -11.3431, -10.9649, -8.97102, -5.67456, -0.4519", \ + "-18.5251, -18.1618, -16.3955, -16.0173, -13.8097, -10.5132, -9.28804", \ + "-23.2376, -22.8743, -22.1687, -23.6621, -22.5197, -19.2232, -17.998" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond0"; + timing_type : setup_falling; + when : "(!SE * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5933, 9.30564, 6.80097, 3.18359, -2.25319, -10.3877, -24.5775", \ + "10.9548, 9.66718, 7.16252, 2.43552, -1.89165, -10.0262, -24.2159", \ + "11.6562, 10.3686, 7.86394, 3.13694, -1.19023, -9.32474, -23.5145", \ + "14.0625, 11.6848, 9.18012, 5.625, 0.125958, -8.00856, -20.3341", \ + "15.2581, 13.9705, 11.4658, 6.73885, 2.41168, -9.72034, -19.9126", \ + "18.443, 17.1554, 14.6507, 9.9237, 5.59654, -6.53548, -20.7252", \ + "23.2639, 21.9762, 19.4716, 11.8652, 6.41991, -5.71211, -23.8994" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.6357, 15.7619, 14.076, 12.0605, 9.68532, 7.00631, 5.74432", \ + "17.0455, 16.1717, 14.4858, 15.3582, 10.0951, 7.41608, 6.15409", \ + "17.8663, 16.9925, 15.3066, 16.179, 10.9159, 8.23689, 2.9774", \ + "20.603, 18.6391, 16.9532, 15, 12.5625, 9.88351, 5.77148", \ + "22.8262, 21.9524, 20.2665, 21.1389, 15.8758, 13.1968, 7.93732", \ + "33.5306, 32.6568, 30.9709, 27.8458, 22.5827, 19.9037, 18.6417", \ + "43.2678, 42.394, 40.7081, 38.7012, 36.3174, 33.6384, 28.3789" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond1"; + timing_type : setup_falling; + when : "(!SE * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.1913, 9.24996, 7.40299, 0.964355, -2.67648, -13.4428, -21.8134", \ + "10.8963, 9.95493, 8.10796, 4.5572, -1.97152, -12.7378, -25.1059", \ + "12.2582, 11.3168, 9.46984, 5.91909, -0.609634, -11.3759, -23.744", \ + "11.8823, 13.8484, 8.00388, 5.625, -2.07559, -8.8444, -24.0625", \ + "15.0864, 14.145, 12.2981, 8.74731, 2.21859, -8.54771, -20.9158", \ + "18.4456, 17.5042, 15.6572, 12.1065, 1.58024, -9.18606, -21.5541", \ + "23.32, 22.3786, 20.5317, 14.1016, 6.45468, -8.30912, -24.6747" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.2666, 12.5055, 11.0358, 9.39697, 7.68793, 4.55479, -0.448004", \ + "13.597, 12.8359, 11.3663, 12.6348, 8.01837, 4.88522, -0.117569", \ + "14.2642, 13.5031, 12.0334, 13.302, 8.68554, 5.5524, 0.549606", \ + "16.6748, 14.8627, 13.393, 11.7969, 10.0451, 6.91197, 3.0371", \ + "22.4413, 21.6802, 20.2105, 17.4816, 12.8651, 9.73198, 4.72918", \ + "28.4848, 27.7237, 26.254, 23.5251, 22.9061, 15.7755, 14.7702", \ + "42.1857, 41.4246, 39.955, 34.4649, 32.6096, 29.4764, 24.4736" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5933, 9.30564, 7.40299, 3.18359, -2.25319, -10.3877, -21.8134", \ + "10.9548, 9.95493, 8.10796, 4.5572, -1.89165, -10.0262, -24.2159", \ + "12.2582, 11.3168, 9.46984, 5.91909, -0.609634, -9.32474, -23.5145", \ + "14.0625, 13.8484, 9.18012, 5.625, 0.125958, -8.00856, -20.3341", \ + "15.2581, 14.145, 12.2981, 8.74731, 2.41168, -8.54771, -19.9126", \ + "18.4456, 17.5042, 15.6572, 12.1065, 5.59654, -6.53548, -20.7252", \ + "23.32, 22.3786, 20.5317, 14.1016, 6.45468, -5.71211, -23.8994" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.6357, 15.7619, 14.076, 12.0605, 9.68532, 7.00631, 5.74432", \ + "17.0455, 16.1717, 14.4858, 15.3582, 10.0951, 7.41608, 6.15409", \ + "17.8663, 16.9925, 15.3066, 16.179, 10.9159, 8.23689, 2.9774", \ + "20.603, 18.6391, 16.9532, 15, 12.5625, 9.88351, 5.77148", \ + "22.8262, 21.9524, 20.2665, 21.1389, 15.8758, 13.1968, 7.93732", \ + "33.5306, 32.6568, 30.9709, 27.8458, 22.9061, 19.9037, 18.6417", \ + "43.2678, 42.394, 40.7081, 38.7012, 36.3174, 33.6384, 28.3789" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0613206, -0.0615557, -0.061939, -0.0615292, -0.0616378, -0.061771, -0.0612533" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0811064, 0.0808958, 0.0816179, 0.0815184, 0.0814721, 0.0812686, 0.0809613" \ + ); + } + } + internal_power () { + when : "(CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113503, 0.113589, 0.113482, 0.114102, 0.113905, 0.113746, 0.113333" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0942832, -0.0941004, -0.0950864, -0.0945404, -0.0952126, -0.0944471, -0.0939548" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0614749, -0.0609594, -0.0608668, -0.0619261, -0.0619989, -0.0613481, -0.0613998" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0932016, 0.0923778, 0.0929273, 0.0932965, 0.0935633, 0.0930772, 0.0924471" \ + ); + } + } + internal_power () { + when : "(CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0699487, 0.0696764, 0.0691144, 0.0700153, 0.0699242, 0.0691912, 0.0687958" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0427968, -0.0417812, -0.042255, -0.0423931, -0.0424093, -0.0420634, -0.0418019" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.465896, 0.466872, 0.486531, 0.555431, 0.752727, 1.20655, 2.15152" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.840005, 0.835981, 0.847025, 0.905319, 1.1019, 1.57388, 2.54381" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.847036, 0.848864, 0.867559, 0.93628, 1.13362, 1.58754, 2.52881" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.453702, 0.449865, 0.46027, 0.518534, 0.715234, 1.18657, 2.15842" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.472377, 0.472957, 0.495129, 0.565971, 0.762638, 1.2137, 2.14348" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.790911, 0.789585, 0.803371, 0.867289, 1.0683, 1.53938, 2.50366" \ + ); + } + } + internal_power () { + when : "(CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.8353, 0.835985, 0.857094, 0.927965, 1.12393, 1.57545, 2.5018" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.423036, 0.421337, 0.434872, 0.498647, 0.699811, 1.17058, 2.1361" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0622026, -0.0617804, -0.0629826, -0.0624029, -0.0623739, -0.0624652, -0.0620551" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0795127, 0.0794213, 0.0794515, 0.0798304, 0.0797635, 0.0796087, 0.0794568" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112479, 0.111938, 0.113346, 0.113223, 0.112863, 0.112804, 0.112503" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0965022, -0.0960802, -0.0958485, -0.0961584, -0.0967796, -0.095932, -0.0955029" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0621928, -0.0624085, -0.0624313, -0.0626288, -0.0620379, -0.0621694, -0.0618551" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0799785, 0.0800201, 0.0798803, 0.0800832, 0.0800149, 0.0801354, 0.0798696" \ + ); + } + } + internal_power () { + when : "(!CLK * SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0704928, 0.0707059, 0.0703566, 0.0705846, 0.0700115, 0.0701531, 0.0697574" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0704928, -0.0707059, -0.0703566, -0.0705846, -0.0700115, -0.0701531, -0.0697574" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0535608, -0.0569289, -0.061026, -0.0629018, -0.065079, -0.0652998, -0.0654938" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0923173, 0.0921352, 0.0925507, 0.0924069, 0.09269, 0.0920693, 0.0920498" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.114113, 0.110954, 0.109562, 0.10825, 0.108433, 0.107126, 0.106443" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0842537, -0.0835201, -0.0847907, -0.0849335, -0.085919, -0.0851935, -0.0847395" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0506913, -0.0551715, -0.0591442, -0.0618147, -0.0633738, -0.0647393, -0.0649041" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0926752, 0.0927501, 0.0934226, 0.0936638, 0.0935234, 0.0925704, 0.0926939" \ + ); + } + } + internal_power () { + when : "(!CLK * !SE * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.113482, 0.111135, 0.109046, 0.108134, 0.106991, 0.106833, 0.106255" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0866148, -0.0856574, -0.0865869, -0.0868316, -0.0868951, -0.0857372, -0.0855117" \ + ); + } + } + } + pin (SE) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 1.45659; + rise_capacitance : 1.45571; + rise_capacitance_range (1.30289, 1.45571); + fall_capacitance : 1.45659; + fall_capacitance_range (1.25945, 1.45659); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : hold_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-11.2825, -10.868, -10.0655, -6.56641, -5.99197, -6.53542, -2.40917", \ + "-11.7845, -11.37, -10.5675, -9.06842, -6.49399, -7.03744, -2.91119", \ + "-12.7396, -12.3251, -11.5226, -10.0236, -7.44913, -7.99259, -3.86633", \ + "-13.2275, -10.0424, -9.23985, -10.4688, -9.16384, -5.7098, -4.44335", \ + "-13.9723, -9.56035, -12.7554, -11.2563, -8.68184, -5.2278, -1.10155", \ + "-9.01084, -8.59635, -11.7913, -10.2923, -7.71783, -4.26379, -0.137541", \ + "-7.08283, -6.66834, -5.86584, -7.18751, -5.78982, -2.33578, 1.79047" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.01215, 4.79956, 6.3377, 6.47705, 10.5421, 18.7391, 29.7409", \ + "-0.588396, 4.19652, 5.73466, 8.66423, 9.93901, 18.1361, 29.1379", \ + "-1.76569, 3.01922, 0.559863, 3.48943, 8.76171, 16.9588, 27.9606", \ + "-2.81738, 0.779784, 2.31793, 2.5, 6.52228, 14.7194, 22.8613", \ + "-4.02585, -3.23844, -1.70029, 1.22928, 6.50155, 10.7011, 21.7029", \ + "-10.2197, -9.4323, -7.89416, -4.96459, 0.307687, 8.50477, 15.509", \ + "-15.2371, -14.4497, -12.9116, -12.8125, -8.70722, -0.510141, 10.4916" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : hold_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.03711, 3.80914, 5.32179, 9.38965, 13.5181, 22.1009, 31.2244", \ + "3.09894, 3.87097, 5.38363, 8.28327, 13.5799, 22.1627, 31.2862", \ + "3.26139, 8.03093, 9.54358, 12.4432, 13.7424, 22.3252, 31.4487", \ + "4.90967, 8.51099, 10.0236, 10.1562, 14.2224, 22.8052, 33.0566", \ + "9.31969, 10.0917, 11.6044, 14.504, 19.8007, 24.386, 37.507", \ + "18.9611, 19.7332, 21.2458, 24.1454, 25.4446, 34.0274, 43.1509", \ + "36.1813, 36.9534, 38.466, 38.5352, 42.6648, 51.2476, 60.3711" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.09527, -5.60571, -4.65804, -5.65918, -3.85004, 0.209208, 4.27461", \ + "-6.43292, -5.94336, -4.99569, -3.22613, -4.18768, -0.128437, 3.93696", \ + "-7.14288, -6.65332, -5.70564, -3.93609, -4.89764, -0.838396, 3.227", \ + "-11.4526, -8.21192, -7.26424, -8.20312, -6.45624, -2.39699, -1.18164", \ + "-16.3709, -15.8813, -14.9337, -13.1641, -14.1256, -10.0664, -6.001", \ + "-25.9336, -25.444, -28.4938, -26.7243, -23.6883, -23.6266, -19.5612", \ + "-49.9368, -49.4473, -48.4996, -49.5508, -47.6916, -47.6299, -43.5645" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "3.03711, 3.80914, 5.32179, 9.38965, 13.5181, 22.1009, 31.2244", \ + "3.09894, 3.87097, 5.38363, 8.28327, 13.5799, 22.1627, 31.2862", \ + "3.26139, 8.03093, 9.54358, 12.4432, 13.7424, 22.3252, 31.4487", \ + "4.90967, 8.51099, 10.0236, 10.1562, 14.2224, 22.8052, 33.0566", \ + "9.31969, 10.0917, 11.6044, 14.504, 19.8007, 24.386, 37.507", \ + "18.9611, 19.7332, 21.2458, 24.1454, 25.4446, 34.0274, 43.1509", \ + "36.1813, 36.9534, 38.466, 38.5352, 42.6648, 51.2476, 60.3711" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.01215, 4.79956, 6.3377, 6.47705, 10.5421, 18.7391, 29.7409", \ + "-0.588396, 4.19652, 5.73466, 8.66423, 9.93901, 18.1361, 29.1379", \ + "-1.76569, 3.01922, 0.559863, 3.48943, 8.76171, 16.9588, 27.9606", \ + "-2.81738, 0.779784, 2.31793, 2.5, 6.52228, 14.7194, 22.8613", \ + "-4.02585, -3.23844, -1.70029, 1.22928, 6.50155, 10.7011, 21.7029", \ + "-10.2197, -9.4323, -7.89416, -4.96459, 0.307687, 8.50477, 15.509", \ + "-15.2371, -14.4497, -12.9116, -12.8125, -8.70722, -0.510141, 10.4916" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond2"; + timing_type : setup_falling; + when : "(D * !SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1035, 19.6614, 18.8, 14.2798, 14.265, 9.90805, 6.987", \ + "20.5175, 20.0754, 19.214, 13.5842, 14.6791, 10.3221, 7.40101", \ + "21.3017, 20.8597, 16.0007, 14.3684, 15.4633, 11.1063, 8.18524", \ + "19.7681, 18.2555, 17.3941, 16.9141, 12.8591, 12.4996, 6.71874", \ + "21.9786, 21.5366, 16.6777, 15.0453, 16.1402, 11.7832, 8.86217", \ + "20.5459, 20.1039, 19.2424, 17.6101, 14.7075, 10.3505, 7.42942", \ + "17.6804, 17.2383, 12.3794, 11.8652, 11.842, 7.48496, 0.566406" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "13.0559, 11.8684, 9.55625, 7.18359, -2.55527, -10.0097, -20.8076", \ + "13.2384, 12.0509, 9.73879, 5.36613, 1.62477, -9.82712, -20.6251", \ + "13.6152, 12.4277, 10.1155, 5.74286, 2.0015, -5.45289, -20.2484", \ + "15.4858, 17.2253, 14.9131, 7.69531, 2.80161, -4.65278, -18.3106", \ + "20.1996, 19.0121, 16.6999, 12.3273, 8.58592, -2.86597, -13.6639", \ + "24.5196, 23.3321, 21.0199, 16.6473, 12.9059, 1.45403, -9.34393", \ + "36.1451, 34.9576, 28.6479, 25.5037, 20.5339, 9.08203, -1.71593" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond3"; + timing_type : setup_falling; + when : "(!D * SI)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "7.4213, 6.17443, 3.74757, 0.231933, -4.9434, -12.87, -23.5941", \ + "7.47104, 6.22417, 3.79731, 3.20862, -4.89366, -12.8203, -23.5443", \ + "7.54572, 6.29885, 3.87199, 3.2833, -4.81898, -12.7456, -23.4696", \ + "8.77364, 6.34899, 3.92213, 0.46875, -4.76884, -12.6955, -26.2891", \ + "7.29927, 6.0524, 3.62554, -0.960651, -5.06543, -12.992, -27.7136", \ + "5.11861, 3.87174, 1.44488, -3.14131, -7.24608, -19.1702, -33.8917", \ + "-1.59511, -2.84198, -5.26884, -12.7344, -17.9573, -29.8814, -44.603" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.2338, 15.705, 10.6781, 9.84131, 9.28641, 4.20091, 1.23016", \ + "16.9566, 16.4278, 11.4009, 9.45446, 10.0092, 4.92368, 1.95293", \ + "18.4074, 17.8786, 12.8517, 10.9053, 11.46, 6.37451, 3.40377", \ + "18.4229, 20.8015, 15.7745, 15, 14.3828, 9.29734, 3.47656", \ + "27.2606, 26.7318, 25.7023, 23.7559, 20.3131, 15.2276, 12.2569", \ + "39.4597, 38.9309, 37.9014, 35.955, 32.5123, 27.4268, 24.456", \ + "65.2121, 64.6833, 63.6539, 58.8281, 58.2647, 53.1792, 50.2084" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "20.1035, 19.6614, 18.8, 14.2798, 14.265, 9.90805, 6.987", \ + "20.5175, 20.0754, 19.214, 13.5842, 14.6791, 10.3221, 7.40101", \ + "21.3017, 20.8597, 16.0007, 14.3684, 15.4633, 11.1063, 8.18524", \ + "19.7681, 18.2555, 17.3941, 16.9141, 12.8591, 12.4996, 6.71874", \ + "21.9786, 21.5366, 16.6777, 15.0453, 16.1402, 11.7832, 8.86217", \ + "20.5459, 20.1039, 19.2424, 17.6101, 14.7075, 10.3505, 7.42942", \ + "17.6804, 17.2383, 12.3794, 11.8652, 11.842, 7.48496, 0.566406" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.2338, 15.705, 10.6781, 9.84131, 9.28641, 4.20091, 1.23016", \ + "16.9566, 16.4278, 11.4009, 9.45446, 10.0092, 4.92368, 1.95293", \ + "18.4074, 17.8786, 12.8517, 10.9053, 11.46, 6.37451, 3.40377", \ + "18.4229, 20.8015, 15.7745, 15, 14.3828, 9.29734, 3.47656", \ + "27.2606, 26.7318, 25.7023, 23.7559, 20.3131, 15.2276, 12.2569", \ + "39.4597, 38.9309, 37.9014, 35.955, 32.5123, 27.4268, 24.456", \ + "65.2121, 64.6833, 63.6539, 58.8281, 58.2647, 53.1792, 50.2084" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.115003, 0.129947, 0.175341, 0.298636, 0.600033, 1.25865, 2.63234" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.449655, 0.475576, 0.555346, 0.728136, 1.08552, 1.80033, 3.22421" \ + ); + } + } + internal_power () { + when : "(CLK * D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.42435, 0.438506, 0.48521, 0.607697, 0.911165, 1.56916, 2.9411" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.134038, 0.161334, 0.240033, 0.412537, 0.768828, 1.48378, 2.90472" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.753082, 0.766297, 0.810606, 0.920003, 1.16198, 1.67648, 2.74758" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.882116, 0.898332, 0.946412, 1.06995, 1.33383, 1.89372, 3.04238" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.921699, 0.93466, 0.978941, 1.08816, 1.32972, 1.8459, 2.9167" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.708454, 0.724514, 0.773005, 0.896325, 1.15985, 1.71927, 2.8696" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.441695, 0.457296, 0.523431, 0.708623, 1.15305, 2.11232, 4.0729" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.995588, 1.0174, 1.09787, 1.31155, 1.81483, 2.88939, 5.05689" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.963775, 0.980443, 1.04546, 1.23006, 1.67668, 2.63515, 4.59386" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.473708, 0.495578, 0.5761, 0.788655, 1.29232, 2.36684, 4.53575" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0426088, -0.0274387, 0.0162525, 0.125353, 0.364396, 0.863617, 1.88081" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.373234, 0.392705, 0.445954, 0.567885, 0.826213, 1.35725, 2.42763" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.366341, 0.380114, 0.425207, 0.533489, 0.775233, 1.27202, 2.28953" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0339814, -0.0136856, 0.0397525, 0.161425, 0.419506, 0.94966, 2.0203" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.019032, -0.00841553, 0.0326958, 0.140207, 0.379579, 0.880304, 1.89687" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372211, 0.385417, 0.435692, 0.55281, 0.808904, 1.33673, 2.40397" \ + ); + } + } + internal_power () { + when : "(!CLK * D) + (!CLK * !D * SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372039, 0.382261, 0.423961, 0.532748, 0.77323, 1.27269, 2.28819" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0358146, -0.0167254, 0.0365152, 0.155291, 0.414019, 0.943707, 2.01391" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0505942, -0.035904, 0.00797986, 0.117129, 0.357329, 0.856929, 1.87296" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.372039, 0.392884, 0.446628, 0.56885, 0.82822, 1.35765, 2.42891" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SI)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.35689, 0.371024, 0.416436, 0.525348, 0.767866, 1.26574, 2.28011" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0343467, -0.0133698, 0.0406001, 0.161867, 0.421158, 0.950331, 2.02165" \ + ); + } + } + } + pin (SI) { + driver_waveform_fall : "PreDriver20.5:fall"; + driver_waveform_rise : "PreDriver20.5:rise"; + direction : input; + input_signal_level : VDD; + related_ground_pin : VSS; + related_power_pin : VDD; + max_transition : 320; + capacitance : 0.68703; + rise_capacitance : 0.683195; + rise_capacitance_range (0.561528, 0.683195); + fall_capacitance : 0.68703; + fall_capacitance_range (0.576824, 0.68703); + input_voltage : default_VDD_VSS_input; + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : hold_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "2.81494, 3.56714, 5.04218, 9.0625, 13.07, 21.5806, 31.0828", \ + "2.57269, 3.32489, 4.79992, 7.6325, 12.8277, 21.3384, 30.8405", \ + "2.12902, 2.88122, 4.35626, 7.18884, 12.384, 20.8947, 30.3968", \ + "2.57324, 2.15723, 3.63226, 7.69531, 11.6601, 20.1707, 30.8008", \ + "3.22395, 3.97615, 5.45118, 8.28376, 13.479, 21.9896, 31.4918", \ + "5.63224, 6.38444, 7.85947, 10.6921, 15.8873, 24.3979, 33.9001", \ + "14.1374, 18.8871, 16.3647, 20.5312, 24.3925, 28.9056, 42.4053" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-6.76099, -6.79711, -6.85109, -5.65918, -2.66599, -1.0519, 2.85523", \ + "-7.52241, -7.55853, -7.61251, -7.64741, -3.42741, -1.81332, 2.09381", \ + "-9.02444, -9.06057, -9.11455, -9.14944, -4.92945, -3.31536, 0.591773", \ + "-9.94531, -11.9814, -12.0354, -10.7812, -7.85032, -6.23623, -1.18164", \ + "-17.4542, -17.4903, -17.5443, -13.5817, -13.3592, -11.7451, -7.83801", \ + "-27.1407, -27.1769, -23.2333, -23.2682, -23.0457, -21.4316, -17.5245", \ + "-41.1885, -41.2246, -41.2786, -40.1367, -37.0935, -35.4794, -35.5698" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : hold_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.69818, 5.83962, 8.05544, 9.38965, 15.4758, 21.6965, 32.966", \ + "4.79092, 5.93235, 8.14818, 8.31417, 15.5685, 21.7893, 33.0587", \ + "4.98427, 6.12571, 8.34153, 8.50753, 15.7619, 21.9826, 33.2521", \ + "2.57324, 6.54396, 8.75979, 10.1562, 16.1801, 22.4009, 30.8008", \ + "6.36521, 7.50664, 9.72246, 9.88846, 17.1428, 23.3636, 30.6355", \ + "8.79524, 9.93667, 12.1525, 12.3185, 19.5729, 25.7936, 33.0656", \ + "15.674, 16.8154, 19.0313, 20.5312, 26.4516, 32.6724, 39.9443" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.27887, -3.91942, -3.21764, -4.69238, 0.513307, 0.211267, 7.21406", \ + "-4.69253, -4.33308, -3.6313, -2.29629, 0.0996467, -0.202393, 6.8004", \ + "-5.51617, -5.15672, -4.45495, -3.11993, -0.723996, -1.02604, 5.97676", \ + "-9.93896, -6.78929, -6.08752, -7.5, -6.35407, -2.65861, 1.47461", \ + "-10.355, -9.99557, -9.2938, -11.9563, -9.56035, -5.86489, -2.8596", \ + "-20.5296, -20.1702, -19.4684, -18.1334, -15.7375, -12.042, -9.03672", \ + "-27.9446, -27.5852, -26.8834, -28.3691, -27.15, -27.452, -24.4467" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : hold_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "4.69818, 5.83962, 8.05544, 9.38965, 15.4758, 21.6965, 32.966", \ + "4.79092, 5.93235, 8.14818, 8.31417, 15.5685, 21.7893, 33.0587", \ + "4.98427, 6.12571, 8.34153, 8.50753, 15.7619, 21.9826, 33.2521", \ + "2.57324, 6.54396, 8.75979, 10.1562, 16.1801, 22.4009, 30.8008", \ + "6.36521, 7.50664, 9.72246, 9.88846, 17.1428, 23.3636, 31.4918", \ + "8.79524, 9.93667, 12.1525, 12.3185, 19.5729, 25.7936, 33.9001", \ + "15.674, 18.8871, 19.0313, 20.5312, 26.4516, 32.6724, 42.4053" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-4.27887, -3.91942, -3.21764, -4.69238, 0.513307, 0.211267, 7.21406", \ + "-4.69253, -4.33308, -3.6313, -2.29629, 0.0996467, -0.202393, 6.8004", \ + "-5.51617, -5.15672, -4.45495, -3.11993, -0.723996, -1.02604, 5.97676", \ + "-9.93896, -6.78929, -6.08752, -7.5, -6.35407, -2.65861, 1.47461", \ + "-10.355, -9.99557, -9.2938, -11.9563, -9.56035, -5.86489, -2.8596", \ + "-20.5296, -20.1702, -19.4684, -18.1334, -15.7375, -12.042, -9.03672", \ + "-27.9446, -27.5852, -26.8834, -28.3691, -27.15, -27.452, -24.4467" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond4"; + timing_type : setup_falling; + when : "(D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.07216, 8.26874, 6.68669, 0.752357, -2.11159, -11.9918, -21.4091", \ + "9.73574, 8.93232, 7.35026, 4.2853, -1.44802, -11.3282, -24.743", \ + "11.0055, 10.202, 8.61997, 5.55501, -0.178308, -10.0585, -23.4733", \ + "10.3687, 12.5117, 6.93215, 5, -1.86613, -11.7464, -24.0332", \ + "13.3395, 12.5361, 10.9541, 7.8891, 2.15578, -7.72444, -21.1392", \ + "13.3884, 12.585, 11.0029, 7.93793, 2.20461, -7.67561, -21.0904", \ + "13.486, 12.6826, 11.1006, 5.15626, -1.69523, -11.5755, -24.9902" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.6357, 15.7619, 14.076, 12.0605, 9.68532, 7.00631, 1.74682", \ + "17.0265, 16.1527, 14.4668, 11.3417, 10.0761, 7.39707, 2.13758", \ + "17.8283, 16.9545, 15.2686, 12.1435, 10.8779, 8.19885, 2.93936", \ + "20.603, 18.6391, 16.9532, 15, 12.5625, 9.88351, 5.77148", \ + "27.2041, 26.3303, 24.6443, 21.5193, 20.2537, 17.5746, 12.3152", \ + "39.8861, 39.0123, 37.3264, 34.2013, 28.9382, 26.2592, 20.9997", \ + "58.447, 57.5732, 55.8872, 49.8828, 47.499, 44.82, 39.5605" \ + ); + } + } + timing () { + related_pin : "CLK"; + sdf_cond : "adacond5"; + timing_type : setup_falling; + when : "(!D * SE)"; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "6.87744, 5.71568, 3.45163, 0.231933, -4.47017, -11.925, -23.6038", \ + "7.25051, 6.08875, 3.8247, 3.53195, -4.0971, -11.5519, -23.2308", \ + "7.97218, 6.81042, 4.54637, 4.25362, -3.37543, -10.8302, -22.5091", \ + "10.3687, 8.15587, 9.88931, 2.73438, -2.02998, -9.48477, -24.0332", \ + "11.6169, 10.4552, 8.19112, 7.89838, 0.269329, -11.183, -22.8618", \ + "15.5141, 14.3524, 12.0883, 7.79808, 0.169037, -7.28575, -22.9621", \ + "18.4462, 17.2844, 15.0204, 8.30896, 3.10106, -8.35123, -24.0276" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "10.5444, 9.78715, 8.32133, 6.65527, 4.88904, 2.62039, -1.42853", \ + "11.2934, 10.5361, 9.07028, 10.3312, 5.63798, 3.36934, -0.679584", \ + "12.7679, 12.0107, 10.5448, 11.8057, 7.11255, 4.84391, 0.794984", \ + "16.6748, 14.8665, 13.4007, 11.7969, 9.96838, 7.69974, 0.781245", \ + "20.9622, 20.2049, 18.7391, 16.0025, 15.3068, 9.04068, 4.99176", \ + "30.1462, 29.3889, 27.9231, 25.1865, 24.4908, 18.2247, 14.1758", \ + "46.5402, 45.7829, 40.3196, 38.7012, 36.8873, 30.6212, 26.5723" \ + ); + } + } + timing () { + related_pin : "CLK"; + timing_type : setup_falling; + rise_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "9.07216, 8.26874, 6.68669, 0.752357, -2.11159, -11.925, -21.4091", \ + "9.73574, 8.93232, 7.35026, 4.2853, -1.44802, -11.3282, -23.2308", \ + "11.0055, 10.202, 8.61997, 5.55501, -0.178308, -10.0585, -22.5091", \ + "10.3687, 12.5117, 9.88931, 5, -1.86613, -9.48477, -24.0332", \ + "13.3395, 12.5361, 10.9541, 7.89838, 2.15578, -7.72444, -21.1392", \ + "15.5141, 14.3524, 12.0883, 7.93793, 2.20461, -7.28575, -21.0904", \ + "18.4462, 17.2844, 15.0204, 8.30896, 3.10106, -8.35123, -24.0276" \ + ); + } + fall_constraint (constraint_template_7x7) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + index_2 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "16.6357, 15.7619, 14.076, 12.0605, 9.68532, 7.00631, 1.74682", \ + "17.0265, 16.1527, 14.4668, 11.3417, 10.0761, 7.39707, 2.13758", \ + "17.8283, 16.9545, 15.2686, 12.1435, 10.8779, 8.19885, 2.93936", \ + "20.603, 18.6391, 16.9532, 15, 12.5625, 9.88351, 5.77148", \ + "27.2041, 26.3303, 24.6443, 21.5193, 20.2537, 17.5746, 12.3152", \ + "39.8861, 39.0123, 37.3264, 34.2013, 28.9382, 26.2592, 20.9997", \ + "58.447, 57.5732, 55.8872, 49.8828, 47.499, 44.82, 39.5605" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.420947, 0.423034, 0.444661, 0.510395, 0.684691, 1.07258, 1.86518" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.729673, 0.726374, 0.746617, 0.814705, 1.00423, 1.42553, 2.26616" \ + ); + } + } + internal_power () { + when : "(CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.694094, 0.696882, 0.717714, 0.783511, 0.958984, 1.34606, 2.13763" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.455489, 0.45203, 0.472513, 0.540466, 0.730227, 1.15154, 1.99231" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.00385838, 0.00367464, 0.00361829, 0.00362063, 0.00338995, 0.00321851, 0.00265947" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0196505, 0.0196667, 0.0195565, 0.0194183, 0.0193305, 0.0193262, 0.0192076" \ + ); + } + } + internal_power () { + when : "(CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.127424, 0.127217, 0.127177, 0.126896, 0.12699, 0.125964, 0.123139" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0934162, -0.0936112, -0.0940938, -0.0934229, -0.0942356, -0.0933555, -0.0926902" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.413429, 0.418783, 0.44397, 0.520596, 0.708959, 1.12826, 1.98" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.643468, 0.644194, 0.668983, 0.748227, 0.954067, 1.39958, 2.29259" \ + ); + } + } + internal_power () { + when : "(CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.643821, 0.648821, 0.673797, 0.750407, 0.938684, 1.35809, 2.20856" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.413366, 0.41379, 0.438376, 0.517104, 0.723616, 1.16871, 2.0624" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.072752, -0.072929, -0.0735184, -0.0730667, -0.0741391, -0.0731981, -0.0733661" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.104273, 0.104297, 0.104488, 0.105122, 0.105447, 0.105076, 0.104568" \ + ); + } + } + internal_power () { + when : "(CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0429585, 0.0428237, 0.0426236, 0.0421618, 0.0422847, 0.0419366, 0.0416486" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0194533, -0.0190615, -0.0188361, -0.0189765, -0.0187587, -0.0184715, -0.01821" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0597709, -0.0629498, -0.0665677, -0.0672957, -0.0688713, -0.0684751, -0.0684095" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0892345, 0.0895809, 0.0895941, 0.0900632, 0.0903378, 0.0904412, 0.0902075" \ + ); + } + } + internal_power () { + when : "(!CLK * D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.107535, 0.104236, 0.103374, 0.1018, 0.101718, 0.100321, 0.0996736" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0786846, -0.0780233, -0.0785931, -0.0796371, -0.0796668, -0.0792202, -0.0790776" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.00433585, -0.00432767, -0.00450204, -0.00441557, -0.00429781, -0.00422457, -0.00395228" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0209368, 0.0208781, 0.0209623, 0.0209823, 0.0209614, 0.0209343, 0.0208904" \ + ); + } + } + internal_power () { + when : "(!CLK * D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.112792, 0.112944, 0.113956, 0.113541, 0.113008, 0.113091, 0.112347" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0949405, -0.0946855, -0.0954998, -0.094685, -0.0953733, -0.0944597, -0.0938493" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0615325, -0.0638592, -0.0661133, -0.0673309, -0.0678001, -0.0683469, -0.0681555" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0895928, 0.0897805, 0.0900878, 0.0900094, 0.0901717, 0.0903197, 0.09026" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.106865, 0.103537, 0.102684, 0.102174, 0.100947, 0.100538, 0.0995588" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0812496, -0.0802185, -0.0808055, -0.0803033, -0.0801892, -0.0799457, -0.0796967" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VDD; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0704031, -0.0702103, -0.0712086, -0.071392, -0.0705709, -0.0707361, -0.0706102" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0879274, 0.0881796, 0.0886639, 0.0885579, 0.0892444, 0.0889909, 0.0888248" \ + ); + } + } + internal_power () { + when : "(!CLK * !D * !SE)"; + related_pg_pin : VSS; + rise_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "0.0508565, 0.0504112, 0.0504879, 0.0506421, 0.0501902, 0.0500489, 0.0498021" \ + ); + } + fall_power (passive_power_template_7x1) { + index_1 ("5, 10, 20, 40, 80, 160, 320"); + values ( \ + "-0.0508565, -0.0504112, -0.0504879, -0.0506421, -0.0501902, -0.0500489, -0.0498021" \ + ); + } + } + } + ff (IQN,IQNN) { + clocked_on : "!CLK"; + next_state : "(!D * !SE) + (!D * !SI) + (SE * !SI)"; + power_down_function : "(!VDD) + (VSS)"; + } + } +} diff --git a/test/asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..416ef468c24 Binary files /dev/null and b/test/asap7/asap7sc7p5t_SIMPLE_LVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz b/test/asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz new file mode 100755 index 00000000000..7a23809bee5 Binary files /dev/null and b/test/asap7/asap7sc7p5t_SIMPLE_SLVT_FF_nldm_211120.lib.gz differ diff --git a/test/asap7/setRC.tcl b/test/asap7/setRC.tcl index 2a741ef8158..d1d988b362c 100644 --- a/test/asap7/setRC.tcl +++ b/test/asap7/setRC.tcl @@ -1,13 +1,14 @@ -# Liberty units are fF,kOhm -set_layer_rc -layer M1 -capacitance 1.1368e-01 -resistance 1.3889e-01 -set_layer_rc -layer M2 -capacitance 1.3426e-01 -resistance 2.4222e-02 -set_layer_rc -layer M3 -capacitance 1.2918e-01 -resistance 2.4222e-02 -set_layer_rc -layer M4 -capacitance 1.1396e-01 -resistance 1.6778e-02 -set_layer_rc -layer M5 -capacitance 1.3323e-01 -resistance 1.4677e-02 -set_layer_rc -layer M6 -capacitance 1.1575e-01 -resistance 1.0371e-02 -set_layer_rc -layer M7 -capacitance 1.3293e-01 -resistance 9.6720e-03 -set_layer_rc -layer M8 -capacitance 1.1822e-01 -resistance 7.4310e-03 -set_layer_rc -layer M9 -capacitance 1.3497e-01 -resistance 6.8740e-03 +# correlation result (aes, cva6, ibex, riscv32i) +# M1 capacitance fixed up from -4.8e-02 to 1e-10 as a minuscule positive value +set_layer_rc -layer M1 -resistance 7.04175E-02 -capacitance 1e-10 +set_layer_rc -layer M2 -resistance 4.62311E-02 -capacitance 1.84542E-01 +set_layer_rc -layer M3 -resistance 3.63251E-02 -capacitance 1.53955E-01 +set_layer_rc -layer M4 -resistance 2.03083E-02 -capacitance 1.89434E-01 +set_layer_rc -layer M5 -resistance 1.93005E-02 -capacitance 1.71593E-01 +set_layer_rc -layer M6 -resistance 1.18619E-02 -capacitance 1.76146E-01 +set_layer_rc -layer M7 -resistance 1.25311E-02 -capacitance 1.47030E-01 +set_wire_rc -signal -resistance 3.23151E-02 -capacitance 1.73323E-01 +set_wire_rc -clock -resistance 5.13971E-02 -capacitance 1.44549E-01 set_layer_rc -via V1 -resistance 1.72E-02 set_layer_rc -via V2 -resistance 1.72E-02 @@ -17,5 +18,3 @@ set_layer_rc -via V5 -resistance 1.18E-02 set_layer_rc -via V6 -resistance 8.20E-03 set_layer_rc -via V7 -resistance 8.20E-03 set_layer_rc -via V8 -resistance 6.30E-03 - -set_wire_rc -layer M3