Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

re-start error #237

Open
qarlosalberto opened this issue Dec 12, 2023 · 0 comments
Open

re-start error #237

qarlosalberto opened this issue Dec 12, 2023 · 0 comments

Comments

@qarlosalberto
Copy link
Contributor

Sometimes when I re-start the LS I have this error:

                    await this.client.onReady();
                    await this.client.stop();
                    this.languageServerDisposable.dispose();
                    this.languageServerDisposable = this.client.start();
                    this.context.subscriptions.push(this.languageServerDisposable);
[Error - 8:01:08 PM] Starting client failed
Error: Connection is already listening
    at throwIfListening (/home/carlos/repo/vscode-terosHDL/packages/teroshdl/node_modules/vscode-jsonrpc/lib/common/connection.js:730:19)
    at Object.listen (/home/carlos/repo/vscode-terosHDL/packages/teroshdl/node_modules/vscode-jsonrpc/lib/common/connection.js:1026:13)
    at Object.listen (/home/carlos/repo/vscode-terosHDL/packages/teroshdl/node_modules/vscode-languageclient/lib/common/client.js:36:34)
    at /home/carlos/repo/vscode-terosHDL/packages/teroshdl/node_modules/vscode-languageclient/lib/common/client.js:2046:24
[Error - 8:01:08 PM] Cannot load workspace: Initialize request is missing rootUri parameter.
Loaded Installation configuration file: /home/carlos/repo/vscode-terosHDL/packages/teroshdl/server/vhdl_ls/0.65.0/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml
Loaded HOME folder configuration file: /home/carlos/.vhdl_ls.toml
[Error - 8:01:08 PM] Library mapping is unknown due to missing vhdl_ls.toml config file in the workspace root path: Workspace root configuration file not set
thread 'main' panicked at 'called `Result::unwrap()` on an `Err` value: ProtocolError("expected initialized notification, got: Request(Request { id: RequestId(I32(1)), method: \"shutdown\", params: Null })")', vhdl_ls/src/stdio_server.rs:78:14
note: run with `RUST_BACKTRACE=1` environment variable to display a backtrace
[Warn  - 8:01:08 PM] Without library mapping semantic analysis might be incorrect
[Info  - 8:01:08 PM] Connection to server got closed. Server will restart.
[Error - 8:01:08 PM] Cannot load workspace: Initialize request is missing rootUri parameter.
Loaded Installation configuration file: /home/carlos/repo/vscode-terosHDL/packages/teroshdl/server/vhdl_ls/0.65.0/vhdl_ls-x86_64-unknown-linux-musl/bin/../vhdl_libraries/vhdl_ls.toml
Loaded HOME folder configuration file: /home/carlos/.vhdl_ls.toml
[Error - 8:01:08 PM] Library mapping is unknown due to missing vhdl_ls.toml config file in the workspace root path: Workspace root configuration file not set
[Warn  - 8:01:08 PM] Without library mapping semantic analysis might be incorrect
[Warn  - 8:01:08 PM] Opening file /home/carlos/.vscode/extensions/teros-technology.teroshdl-6.0.0/resources/project_manager/examples/ghdl/half_adder_tb.vhd that is not part of the project
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant