You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
library ieee;
use ieee.std_logic_1164.all;
entity Foo is
port (
clk : in std_logic);
end entity;
architecture Behavioral of Foo is
component Bar
generic (
type DATA_TYPE);
port (
clk : in std_logic);
end component;
begin
Inst_Bar : Bar
generic map (
DATA_TYPE => std_logic)
port map (
clk => clk);
end architecture;
Causes a panic:
thread '<unnamed>' panicked at vhdl_lang\src\named_entity\formal_region.rs:101:17:
internal error: entered unreachable code
I'm using v0.83.0.
The text was updated successfully, but these errors were encountered:
I looked at the code and using subprograms or types in generic associations is simply not implemented. In fact generic associations are treated exactly like port associations at the moment.
I just want to say that I'm on it, but I have decided against a quick fix because there currently is a lot of duplicate logic when analyzing interface lists (for example, the capabilities missing for entities is implemented for generic packages and generic subprograms) so I want to simplify the code a bit before rolling out the fix.
The following file:
Causes a panic:
I'm using v0.83.0.
The text was updated successfully, but these errors were encountered: