diff --git a/src/DesignCompile/CompileExpression.cpp b/src/DesignCompile/CompileExpression.cpp index 768e7ca716..c2c91c2239 100644 --- a/src/DesignCompile/CompileExpression.cpp +++ b/src/DesignCompile/CompileExpression.cpp @@ -44,6 +44,7 @@ #include #include +#include #include #include @@ -432,7 +433,8 @@ constant *compileConst(const FileContent *fC, NodeId child, Serializer &s) { // Do not evaluate the constant, keep it as in the source text: UHDM::constant *c = s.MakeConstant(); fC->populateCoreMembers(child, child, c); - const std::string_view value = fC->SymName(child); + std::string value = std::string(fC->SymName(child)); + value.erase(std::remove(value.begin(), value.end(), '_'), value.end()); std::string v; c->VpiDecompile(value); bool tickNumber = (value.find('\'') != std::string::npos); diff --git a/tests/ArianeElab/ArianeElab.log b/tests/ArianeElab/ArianeElab.log index fc1d7ed7fa..52400c843e 100644 --- a/tests/ArianeElab/ArianeElab.log +++ b/tests/ArianeElab/ArianeElab.log @@ -22693,7 +22693,7 @@ design: (work@top) \_constant: , line:183:34, endln:183:46 |vpiParent: \_param_assign: , line:183:16, endln:183:46 - |vpiDecompile:7'b00_000_11 + |vpiDecompile:7'b0000011 |vpiSize:7 |BIN:0000011 |vpiTypespec: @@ -22714,7 +22714,7 @@ design: (work@top) \_constant: , line:184:34, endln:184:46 |vpiParent: \_param_assign: , line:184:16, endln:184:46 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiTypespec: @@ -22735,7 +22735,7 @@ design: (work@top) \_constant: , line:185:34, endln:185:46 |vpiParent: \_param_assign: , line:185:16, endln:185:46 - |vpiDecompile:7'b00_010_11 + |vpiDecompile:7'b0001011 |vpiSize:7 |BIN:0001011 |vpiTypespec: @@ -22756,7 +22756,7 @@ design: (work@top) \_constant: , line:186:34, endln:186:46 |vpiParent: \_param_assign: , line:186:16, endln:186:46 - |vpiDecompile:7'b00_011_11 + |vpiDecompile:7'b0001111 |vpiSize:7 |BIN:0001111 |vpiTypespec: @@ -22777,7 +22777,7 @@ design: (work@top) \_constant: , line:187:34, endln:187:46 |vpiParent: \_param_assign: , line:187:16, endln:187:46 - |vpiDecompile:7'b00_100_11 + |vpiDecompile:7'b0010011 |vpiSize:7 |BIN:0010011 |vpiTypespec: @@ -22798,7 +22798,7 @@ design: (work@top) \_constant: , line:188:34, endln:188:46 |vpiParent: \_param_assign: , line:188:16, endln:188:46 - |vpiDecompile:7'b00_101_11 + |vpiDecompile:7'b0010111 |vpiSize:7 |BIN:0010111 |vpiTypespec: @@ -22819,7 +22819,7 @@ design: (work@top) \_constant: , line:189:34, endln:189:46 |vpiParent: \_param_assign: , line:189:16, endln:189:46 - |vpiDecompile:7'b00_110_11 + |vpiDecompile:7'b0011011 |vpiSize:7 |BIN:0011011 |vpiTypespec: @@ -22840,7 +22840,7 @@ design: (work@top) \_constant: , line:191:34, endln:191:46 |vpiParent: \_param_assign: , line:191:16, endln:191:46 - |vpiDecompile:7'b01_000_11 + |vpiDecompile:7'b0100011 |vpiSize:7 |BIN:0100011 |vpiTypespec: @@ -22861,7 +22861,7 @@ design: (work@top) \_constant: , line:192:34, endln:192:46 |vpiParent: \_param_assign: , line:192:16, endln:192:46 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiTypespec: @@ -22882,7 +22882,7 @@ design: (work@top) \_constant: , line:193:34, endln:193:46 |vpiParent: \_param_assign: , line:193:16, endln:193:46 - |vpiDecompile:7'b01_010_11 + |vpiDecompile:7'b0101011 |vpiSize:7 |BIN:0101011 |vpiTypespec: @@ -22903,7 +22903,7 @@ design: (work@top) \_constant: , line:194:34, endln:194:46 |vpiParent: \_param_assign: , line:194:16, endln:194:46 - |vpiDecompile:7'b01_011_11 + |vpiDecompile:7'b0101111 |vpiSize:7 |BIN:0101111 |vpiTypespec: @@ -22924,7 +22924,7 @@ design: (work@top) \_constant: , line:195:34, endln:195:46 |vpiParent: \_param_assign: , line:195:16, endln:195:46 - |vpiDecompile:7'b01_100_11 + |vpiDecompile:7'b0110011 |vpiSize:7 |BIN:0110011 |vpiTypespec: @@ -22945,7 +22945,7 @@ design: (work@top) \_constant: , line:196:34, endln:196:46 |vpiParent: \_param_assign: , line:196:16, endln:196:46 - |vpiDecompile:7'b01_101_11 + |vpiDecompile:7'b0110111 |vpiSize:7 |BIN:0110111 |vpiTypespec: @@ -22966,7 +22966,7 @@ design: (work@top) \_constant: , line:197:34, endln:197:46 |vpiParent: \_param_assign: , line:197:16, endln:197:46 - |vpiDecompile:7'b01_110_11 + |vpiDecompile:7'b0111011 |vpiSize:7 |BIN:0111011 |vpiTypespec: @@ -22987,7 +22987,7 @@ design: (work@top) \_constant: , line:199:34, endln:199:46 |vpiParent: \_param_assign: , line:199:16, endln:199:46 - |vpiDecompile:7'b10_000_11 + |vpiDecompile:7'b1000011 |vpiSize:7 |BIN:1000011 |vpiTypespec: @@ -23008,7 +23008,7 @@ design: (work@top) \_constant: , line:200:34, endln:200:46 |vpiParent: \_param_assign: , line:200:16, endln:200:46 - |vpiDecompile:7'b10_001_11 + |vpiDecompile:7'b1000111 |vpiSize:7 |BIN:1000111 |vpiTypespec: @@ -23029,7 +23029,7 @@ design: (work@top) \_constant: , line:201:34, endln:201:46 |vpiParent: \_param_assign: , line:201:16, endln:201:46 - |vpiDecompile:7'b10_010_11 + |vpiDecompile:7'b1001011 |vpiSize:7 |BIN:1001011 |vpiTypespec: @@ -23050,7 +23050,7 @@ design: (work@top) \_constant: , line:202:34, endln:202:46 |vpiParent: \_param_assign: , line:202:16, endln:202:46 - |vpiDecompile:7'b10_011_11 + |vpiDecompile:7'b1001111 |vpiSize:7 |BIN:1001111 |vpiTypespec: @@ -23071,7 +23071,7 @@ design: (work@top) \_constant: , line:203:34, endln:203:46 |vpiParent: \_param_assign: , line:203:16, endln:203:46 - |vpiDecompile:7'b10_100_11 + |vpiDecompile:7'b1010011 |vpiSize:7 |BIN:1010011 |vpiTypespec: @@ -23092,7 +23092,7 @@ design: (work@top) \_constant: , line:204:34, endln:204:46 |vpiParent: \_param_assign: , line:204:16, endln:204:46 - |vpiDecompile:7'b10_101_11 + |vpiDecompile:7'b1010111 |vpiSize:7 |BIN:1010111 |vpiTypespec: @@ -23113,7 +23113,7 @@ design: (work@top) \_constant: , line:205:34, endln:205:46 |vpiParent: \_param_assign: , line:205:16, endln:205:46 - |vpiDecompile:7'b10_110_11 + |vpiDecompile:7'b1011011 |vpiSize:7 |BIN:1011011 |vpiTypespec: @@ -23134,7 +23134,7 @@ design: (work@top) \_constant: , line:207:34, endln:207:46 |vpiParent: \_param_assign: , line:207:16, endln:207:46 - |vpiDecompile:7'b11_000_11 + |vpiDecompile:7'b1100011 |vpiSize:7 |BIN:1100011 |vpiTypespec: @@ -23155,7 +23155,7 @@ design: (work@top) \_constant: , line:208:34, endln:208:46 |vpiParent: \_param_assign: , line:208:16, endln:208:46 - |vpiDecompile:7'b11_001_11 + |vpiDecompile:7'b1100111 |vpiSize:7 |BIN:1100111 |vpiTypespec: @@ -23176,7 +23176,7 @@ design: (work@top) \_constant: , line:209:34, endln:209:46 |vpiParent: \_param_assign: , line:209:16, endln:209:46 - |vpiDecompile:7'b11_010_11 + |vpiDecompile:7'b1101011 |vpiSize:7 |BIN:1101011 |vpiTypespec: @@ -23197,7 +23197,7 @@ design: (work@top) \_constant: , line:210:34, endln:210:46 |vpiParent: \_param_assign: , line:210:16, endln:210:46 - |vpiDecompile:7'b11_011_11 + |vpiDecompile:7'b1101111 |vpiSize:7 |BIN:1101111 |vpiTypespec: @@ -23218,7 +23218,7 @@ design: (work@top) \_constant: , line:211:34, endln:211:46 |vpiParent: \_param_assign: , line:211:16, endln:211:46 - |vpiDecompile:7'b11_100_11 + |vpiDecompile:7'b1110011 |vpiSize:7 |BIN:1110011 |vpiTypespec: @@ -23239,7 +23239,7 @@ design: (work@top) \_constant: , line:212:34, endln:212:46 |vpiParent: \_param_assign: , line:212:16, endln:212:46 - |vpiDecompile:7'b11_101_11 + |vpiDecompile:7'b1110111 |vpiSize:7 |BIN:1110111 |vpiTypespec: @@ -23260,7 +23260,7 @@ design: (work@top) \_constant: , line:213:34, endln:213:46 |vpiParent: \_param_assign: , line:213:16, endln:213:46 - |vpiDecompile:7'b11_110_11 + |vpiDecompile:7'b1111011 |vpiSize:7 |BIN:1111011 |vpiTypespec: @@ -30597,7 +30597,7 @@ design: (work@top) \_io_decl: (dest), line:601:78, endln:601:82 |vpiOperand: \_constant: , line:603:49, endln:603:61 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiConstType:3 @@ -30754,7 +30754,7 @@ design: (work@top) |vpiConstType:9 |vpiOperand: \_constant: , line:608:61, endln:608:73 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiConstType:3 @@ -42952,7 +42952,7 @@ design: (work@top) \_begin: (ariane_pkg::be_gen), line:1416:20, endln:1418:16 |vpiCondition: \_constant: , line:1417:24, endln:1417:36 - |vpiDecompile:8'b1111_1111 + |vpiDecompile:8'b11111111 |vpiSize:8 |BIN:11111111 |vpiConstType:3 @@ -43018,7 +43018,7 @@ design: (work@top) \_case_item: , line:1421:21, endln:1421:49 |vpiCondition: \_constant: , line:1421:36, endln:1421:48 - |vpiDecompile:8'b0000_1111 + |vpiDecompile:8'b00001111 |vpiSize:8 |BIN:00001111 |vpiConstType:3 @@ -43040,7 +43040,7 @@ design: (work@top) \_case_item: , line:1422:21, endln:1422:49 |vpiCondition: \_constant: , line:1422:36, endln:1422:48 - |vpiDecompile:8'b0001_1110 + |vpiDecompile:8'b00011110 |vpiSize:8 |BIN:00011110 |vpiConstType:3 @@ -43062,7 +43062,7 @@ design: (work@top) \_case_item: , line:1423:21, endln:1423:49 |vpiCondition: \_constant: , line:1423:36, endln:1423:48 - |vpiDecompile:8'b0011_1100 + |vpiDecompile:8'b00111100 |vpiSize:8 |BIN:00111100 |vpiConstType:3 @@ -43084,7 +43084,7 @@ design: (work@top) \_case_item: , line:1424:21, endln:1424:49 |vpiCondition: \_constant: , line:1424:36, endln:1424:48 - |vpiDecompile:8'b0111_1000 + |vpiDecompile:8'b01111000 |vpiSize:8 |BIN:01111000 |vpiConstType:3 @@ -43106,7 +43106,7 @@ design: (work@top) \_case_item: , line:1425:21, endln:1425:49 |vpiCondition: \_constant: , line:1425:36, endln:1425:48 - |vpiDecompile:8'b1111_0000 + |vpiDecompile:8'b11110000 |vpiSize:8 |BIN:11110000 |vpiConstType:3 @@ -43172,7 +43172,7 @@ design: (work@top) \_case_item: , line:1430:21, endln:1430:49 |vpiCondition: \_constant: , line:1430:36, endln:1430:48 - |vpiDecompile:8'b0000_0011 + |vpiDecompile:8'b00000011 |vpiSize:8 |BIN:00000011 |vpiConstType:3 @@ -43194,7 +43194,7 @@ design: (work@top) \_case_item: , line:1431:21, endln:1431:49 |vpiCondition: \_constant: , line:1431:36, endln:1431:48 - |vpiDecompile:8'b0000_0110 + |vpiDecompile:8'b00000110 |vpiSize:8 |BIN:00000110 |vpiConstType:3 @@ -43216,7 +43216,7 @@ design: (work@top) \_case_item: , line:1432:21, endln:1432:49 |vpiCondition: \_constant: , line:1432:36, endln:1432:48 - |vpiDecompile:8'b0000_1100 + |vpiDecompile:8'b00001100 |vpiSize:8 |BIN:00001100 |vpiConstType:3 @@ -43238,7 +43238,7 @@ design: (work@top) \_case_item: , line:1433:21, endln:1433:49 |vpiCondition: \_constant: , line:1433:36, endln:1433:48 - |vpiDecompile:8'b0001_1000 + |vpiDecompile:8'b00011000 |vpiSize:8 |BIN:00011000 |vpiConstType:3 @@ -43260,7 +43260,7 @@ design: (work@top) \_case_item: , line:1434:21, endln:1434:49 |vpiCondition: \_constant: , line:1434:36, endln:1434:48 - |vpiDecompile:8'b0011_0000 + |vpiDecompile:8'b00110000 |vpiSize:8 |BIN:00110000 |vpiConstType:3 @@ -43282,7 +43282,7 @@ design: (work@top) \_case_item: , line:1435:21, endln:1435:49 |vpiCondition: \_constant: , line:1435:36, endln:1435:48 - |vpiDecompile:8'b0110_0000 + |vpiDecompile:8'b01100000 |vpiSize:8 |BIN:01100000 |vpiConstType:3 @@ -43304,7 +43304,7 @@ design: (work@top) \_case_item: , line:1436:21, endln:1436:49 |vpiCondition: \_constant: , line:1436:36, endln:1436:48 - |vpiDecompile:8'b1100_0000 + |vpiDecompile:8'b11000000 |vpiSize:8 |BIN:11000000 |vpiConstType:3 @@ -43370,7 +43370,7 @@ design: (work@top) \_case_item: , line:1441:21, endln:1441:49 |vpiCondition: \_constant: , line:1441:36, endln:1441:48 - |vpiDecompile:8'b0000_0001 + |vpiDecompile:8'b00000001 |vpiSize:8 |BIN:00000001 |vpiConstType:3 @@ -43392,7 +43392,7 @@ design: (work@top) \_case_item: , line:1442:21, endln:1442:49 |vpiCondition: \_constant: , line:1442:36, endln:1442:48 - |vpiDecompile:8'b0000_0010 + |vpiDecompile:8'b00000010 |vpiSize:8 |BIN:00000010 |vpiConstType:3 @@ -43414,7 +43414,7 @@ design: (work@top) \_case_item: , line:1443:21, endln:1443:49 |vpiCondition: \_constant: , line:1443:36, endln:1443:48 - |vpiDecompile:8'b0000_0100 + |vpiDecompile:8'b00000100 |vpiSize:8 |BIN:00000100 |vpiConstType:3 @@ -43436,7 +43436,7 @@ design: (work@top) \_case_item: , line:1444:21, endln:1444:49 |vpiCondition: \_constant: , line:1444:36, endln:1444:48 - |vpiDecompile:8'b0000_1000 + |vpiDecompile:8'b00001000 |vpiSize:8 |BIN:00001000 |vpiConstType:3 @@ -43458,7 +43458,7 @@ design: (work@top) \_case_item: , line:1445:21, endln:1445:49 |vpiCondition: \_constant: , line:1445:36, endln:1445:48 - |vpiDecompile:8'b0001_0000 + |vpiDecompile:8'b00010000 |vpiSize:8 |BIN:00010000 |vpiConstType:3 @@ -43480,7 +43480,7 @@ design: (work@top) \_case_item: , line:1446:21, endln:1446:49 |vpiCondition: \_constant: , line:1446:36, endln:1446:48 - |vpiDecompile:8'b0010_0000 + |vpiDecompile:8'b00100000 |vpiSize:8 |BIN:00100000 |vpiConstType:3 @@ -43502,7 +43502,7 @@ design: (work@top) \_case_item: , line:1447:21, endln:1447:49 |vpiCondition: \_constant: , line:1447:36, endln:1447:48 - |vpiDecompile:8'b0100_0000 + |vpiDecompile:8'b01000000 |vpiSize:8 |BIN:01000000 |vpiConstType:3 @@ -43524,7 +43524,7 @@ design: (work@top) \_case_item: , line:1448:21, endln:1448:49 |vpiCondition: \_constant: , line:1448:36, endln:1448:48 - |vpiDecompile:8'b1000_0000 + |vpiDecompile:8'b10000000 |vpiSize:8 |BIN:10000000 |vpiConstType:3 @@ -45432,7 +45432,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGINF |BIN:0000000001 - |vpiDecompile:10'b00_0000_0001 + |vpiDecompile:10'b0000000001 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGNORM), line:1624:5, endln:1624:34 @@ -45440,7 +45440,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGNORM |BIN:0000000010 - |vpiDecompile:10'b00_0000_0010 + |vpiDecompile:10'b0000000010 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGSUBNORM), line:1625:5, endln:1625:34 @@ -45448,7 +45448,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGSUBNORM |BIN:0000000100 - |vpiDecompile:10'b00_0000_0100 + |vpiDecompile:10'b0000000100 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGZERO), line:1626:5, endln:1626:34 @@ -45456,7 +45456,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGZERO |BIN:0000001000 - |vpiDecompile:10'b00_0000_1000 + |vpiDecompile:10'b0000001000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSZERO), line:1627:5, endln:1627:34 @@ -45464,7 +45464,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSZERO |BIN:0000010000 - |vpiDecompile:10'b00_0001_0000 + |vpiDecompile:10'b0000010000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSSUBNORM), line:1628:5, endln:1628:34 @@ -45472,7 +45472,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSSUBNORM |BIN:0000100000 - |vpiDecompile:10'b00_0010_0000 + |vpiDecompile:10'b0000100000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSNORM), line:1629:5, endln:1629:34 @@ -45480,7 +45480,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSNORM |BIN:0001000000 - |vpiDecompile:10'b00_0100_0000 + |vpiDecompile:10'b0001000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSINF), line:1630:5, endln:1630:34 @@ -45488,7 +45488,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSINF |BIN:0010000000 - |vpiDecompile:10'b00_1000_0000 + |vpiDecompile:10'b0010000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (SNAN), line:1631:5, endln:1631:34 @@ -45496,7 +45496,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:SNAN |BIN:0100000000 - |vpiDecompile:10'b01_0000_0000 + |vpiDecompile:10'b0100000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (QNAN), line:1632:5, endln:1632:34 @@ -45504,7 +45504,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:QNAN |BIN:1000000000 - |vpiDecompile:10'b10_0000_0000 + |vpiDecompile:10'b1000000000 |vpiSize:10 |vpiTypedef: \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:37 @@ -53598,7 +53598,7 @@ design: (work@top) \_constant: , line:183:34, endln:183:46 |vpiParent: \_param_assign: , line:183:16, endln:183:46 - |vpiDecompile:7'b00_000_11 + |vpiDecompile:7'b0000011 |vpiSize:7 |BIN:0000011 |vpiTypespec: @@ -53619,7 +53619,7 @@ design: (work@top) \_constant: , line:184:34, endln:184:46 |vpiParent: \_param_assign: , line:184:16, endln:184:46 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiTypespec: @@ -53640,7 +53640,7 @@ design: (work@top) \_constant: , line:185:34, endln:185:46 |vpiParent: \_param_assign: , line:185:16, endln:185:46 - |vpiDecompile:7'b00_010_11 + |vpiDecompile:7'b0001011 |vpiSize:7 |BIN:0001011 |vpiTypespec: @@ -53661,7 +53661,7 @@ design: (work@top) \_constant: , line:186:34, endln:186:46 |vpiParent: \_param_assign: , line:186:16, endln:186:46 - |vpiDecompile:7'b00_011_11 + |vpiDecompile:7'b0001111 |vpiSize:7 |BIN:0001111 |vpiTypespec: @@ -53682,7 +53682,7 @@ design: (work@top) \_constant: , line:187:34, endln:187:46 |vpiParent: \_param_assign: , line:187:16, endln:187:46 - |vpiDecompile:7'b00_100_11 + |vpiDecompile:7'b0010011 |vpiSize:7 |BIN:0010011 |vpiTypespec: @@ -53703,7 +53703,7 @@ design: (work@top) \_constant: , line:188:34, endln:188:46 |vpiParent: \_param_assign: , line:188:16, endln:188:46 - |vpiDecompile:7'b00_101_11 + |vpiDecompile:7'b0010111 |vpiSize:7 |BIN:0010111 |vpiTypespec: @@ -53724,7 +53724,7 @@ design: (work@top) \_constant: , line:189:34, endln:189:46 |vpiParent: \_param_assign: , line:189:16, endln:189:46 - |vpiDecompile:7'b00_110_11 + |vpiDecompile:7'b0011011 |vpiSize:7 |BIN:0011011 |vpiTypespec: @@ -53745,7 +53745,7 @@ design: (work@top) \_constant: , line:191:34, endln:191:46 |vpiParent: \_param_assign: , line:191:16, endln:191:46 - |vpiDecompile:7'b01_000_11 + |vpiDecompile:7'b0100011 |vpiSize:7 |BIN:0100011 |vpiTypespec: @@ -53766,7 +53766,7 @@ design: (work@top) \_constant: , line:192:34, endln:192:46 |vpiParent: \_param_assign: , line:192:16, endln:192:46 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiTypespec: @@ -53787,7 +53787,7 @@ design: (work@top) \_constant: , line:193:34, endln:193:46 |vpiParent: \_param_assign: , line:193:16, endln:193:46 - |vpiDecompile:7'b01_010_11 + |vpiDecompile:7'b0101011 |vpiSize:7 |BIN:0101011 |vpiTypespec: @@ -53808,7 +53808,7 @@ design: (work@top) \_constant: , line:194:34, endln:194:46 |vpiParent: \_param_assign: , line:194:16, endln:194:46 - |vpiDecompile:7'b01_011_11 + |vpiDecompile:7'b0101111 |vpiSize:7 |BIN:0101111 |vpiTypespec: @@ -53829,7 +53829,7 @@ design: (work@top) \_constant: , line:195:34, endln:195:46 |vpiParent: \_param_assign: , line:195:16, endln:195:46 - |vpiDecompile:7'b01_100_11 + |vpiDecompile:7'b0110011 |vpiSize:7 |BIN:0110011 |vpiTypespec: @@ -53850,7 +53850,7 @@ design: (work@top) \_constant: , line:196:34, endln:196:46 |vpiParent: \_param_assign: , line:196:16, endln:196:46 - |vpiDecompile:7'b01_101_11 + |vpiDecompile:7'b0110111 |vpiSize:7 |BIN:0110111 |vpiTypespec: @@ -53871,7 +53871,7 @@ design: (work@top) \_constant: , line:197:34, endln:197:46 |vpiParent: \_param_assign: , line:197:16, endln:197:46 - |vpiDecompile:7'b01_110_11 + |vpiDecompile:7'b0111011 |vpiSize:7 |BIN:0111011 |vpiTypespec: @@ -53892,7 +53892,7 @@ design: (work@top) \_constant: , line:199:34, endln:199:46 |vpiParent: \_param_assign: , line:199:16, endln:199:46 - |vpiDecompile:7'b10_000_11 + |vpiDecompile:7'b1000011 |vpiSize:7 |BIN:1000011 |vpiTypespec: @@ -53913,7 +53913,7 @@ design: (work@top) \_constant: , line:200:34, endln:200:46 |vpiParent: \_param_assign: , line:200:16, endln:200:46 - |vpiDecompile:7'b10_001_11 + |vpiDecompile:7'b1000111 |vpiSize:7 |BIN:1000111 |vpiTypespec: @@ -53934,7 +53934,7 @@ design: (work@top) \_constant: , line:201:34, endln:201:46 |vpiParent: \_param_assign: , line:201:16, endln:201:46 - |vpiDecompile:7'b10_010_11 + |vpiDecompile:7'b1001011 |vpiSize:7 |BIN:1001011 |vpiTypespec: @@ -53955,7 +53955,7 @@ design: (work@top) \_constant: , line:202:34, endln:202:46 |vpiParent: \_param_assign: , line:202:16, endln:202:46 - |vpiDecompile:7'b10_011_11 + |vpiDecompile:7'b1001111 |vpiSize:7 |BIN:1001111 |vpiTypespec: @@ -53976,7 +53976,7 @@ design: (work@top) \_constant: , line:203:34, endln:203:46 |vpiParent: \_param_assign: , line:203:16, endln:203:46 - |vpiDecompile:7'b10_100_11 + |vpiDecompile:7'b1010011 |vpiSize:7 |BIN:1010011 |vpiTypespec: @@ -53997,7 +53997,7 @@ design: (work@top) \_constant: , line:204:34, endln:204:46 |vpiParent: \_param_assign: , line:204:16, endln:204:46 - |vpiDecompile:7'b10_101_11 + |vpiDecompile:7'b1010111 |vpiSize:7 |BIN:1010111 |vpiTypespec: @@ -54018,7 +54018,7 @@ design: (work@top) \_constant: , line:205:34, endln:205:46 |vpiParent: \_param_assign: , line:205:16, endln:205:46 - |vpiDecompile:7'b10_110_11 + |vpiDecompile:7'b1011011 |vpiSize:7 |BIN:1011011 |vpiTypespec: @@ -54039,7 +54039,7 @@ design: (work@top) \_constant: , line:207:34, endln:207:46 |vpiParent: \_param_assign: , line:207:16, endln:207:46 - |vpiDecompile:7'b11_000_11 + |vpiDecompile:7'b1100011 |vpiSize:7 |BIN:1100011 |vpiTypespec: @@ -54060,7 +54060,7 @@ design: (work@top) \_constant: , line:208:34, endln:208:46 |vpiParent: \_param_assign: , line:208:16, endln:208:46 - |vpiDecompile:7'b11_001_11 + |vpiDecompile:7'b1100111 |vpiSize:7 |BIN:1100111 |vpiTypespec: @@ -54081,7 +54081,7 @@ design: (work@top) \_constant: , line:209:34, endln:209:46 |vpiParent: \_param_assign: , line:209:16, endln:209:46 - |vpiDecompile:7'b11_010_11 + |vpiDecompile:7'b1101011 |vpiSize:7 |BIN:1101011 |vpiTypespec: @@ -54102,7 +54102,7 @@ design: (work@top) \_constant: , line:210:34, endln:210:46 |vpiParent: \_param_assign: , line:210:16, endln:210:46 - |vpiDecompile:7'b11_011_11 + |vpiDecompile:7'b1101111 |vpiSize:7 |BIN:1101111 |vpiTypespec: @@ -54123,7 +54123,7 @@ design: (work@top) \_constant: , line:211:34, endln:211:46 |vpiParent: \_param_assign: , line:211:16, endln:211:46 - |vpiDecompile:7'b11_100_11 + |vpiDecompile:7'b1110011 |vpiSize:7 |BIN:1110011 |vpiTypespec: @@ -54144,7 +54144,7 @@ design: (work@top) \_constant: , line:212:34, endln:212:46 |vpiParent: \_param_assign: , line:212:16, endln:212:46 - |vpiDecompile:7'b11_101_11 + |vpiDecompile:7'b1110111 |vpiSize:7 |BIN:1110111 |vpiTypespec: @@ -54165,7 +54165,7 @@ design: (work@top) \_constant: , line:213:34, endln:213:46 |vpiParent: \_param_assign: , line:213:16, endln:213:46 - |vpiDecompile:7'b11_110_11 + |vpiDecompile:7'b1111011 |vpiSize:7 |BIN:1111011 |vpiTypespec: @@ -61262,7 +61262,7 @@ design: (work@top) \_io_decl: (dest), line:601:78, endln:601:82 |vpiOperand: \_constant: , line:603:49, endln:603:61 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiConstType:3 @@ -61419,7 +61419,7 @@ design: (work@top) |vpiConstType:9 |vpiOperand: \_constant: , line:608:61, endln:608:73 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiConstType:3 @@ -72484,7 +72484,7 @@ design: (work@top) \_begin: (ariane_pkg::be_gen), line:1416:20, endln:1418:16 |vpiCondition: \_constant: , line:1417:24, endln:1417:36 - |vpiDecompile:8'b1111_1111 + |vpiDecompile:8'b11111111 |vpiSize:8 |BIN:11111111 |vpiConstType:3 @@ -72550,7 +72550,7 @@ design: (work@top) \_case_item: , line:1421:21, endln:1421:49 |vpiCondition: \_constant: , line:1421:36, endln:1421:48 - |vpiDecompile:8'b0000_1111 + |vpiDecompile:8'b00001111 |vpiSize:8 |BIN:00001111 |vpiConstType:3 @@ -72572,7 +72572,7 @@ design: (work@top) \_case_item: , line:1422:21, endln:1422:49 |vpiCondition: \_constant: , line:1422:36, endln:1422:48 - |vpiDecompile:8'b0001_1110 + |vpiDecompile:8'b00011110 |vpiSize:8 |BIN:00011110 |vpiConstType:3 @@ -72594,7 +72594,7 @@ design: (work@top) \_case_item: , line:1423:21, endln:1423:49 |vpiCondition: \_constant: , line:1423:36, endln:1423:48 - |vpiDecompile:8'b0011_1100 + |vpiDecompile:8'b00111100 |vpiSize:8 |BIN:00111100 |vpiConstType:3 @@ -72616,7 +72616,7 @@ design: (work@top) \_case_item: , line:1424:21, endln:1424:49 |vpiCondition: \_constant: , line:1424:36, endln:1424:48 - |vpiDecompile:8'b0111_1000 + |vpiDecompile:8'b01111000 |vpiSize:8 |BIN:01111000 |vpiConstType:3 @@ -72638,7 +72638,7 @@ design: (work@top) \_case_item: , line:1425:21, endln:1425:49 |vpiCondition: \_constant: , line:1425:36, endln:1425:48 - |vpiDecompile:8'b1111_0000 + |vpiDecompile:8'b11110000 |vpiSize:8 |BIN:11110000 |vpiConstType:3 @@ -72704,7 +72704,7 @@ design: (work@top) \_case_item: , line:1430:21, endln:1430:49 |vpiCondition: \_constant: , line:1430:36, endln:1430:48 - |vpiDecompile:8'b0000_0011 + |vpiDecompile:8'b00000011 |vpiSize:8 |BIN:00000011 |vpiConstType:3 @@ -72726,7 +72726,7 @@ design: (work@top) \_case_item: , line:1431:21, endln:1431:49 |vpiCondition: \_constant: , line:1431:36, endln:1431:48 - |vpiDecompile:8'b0000_0110 + |vpiDecompile:8'b00000110 |vpiSize:8 |BIN:00000110 |vpiConstType:3 @@ -72748,7 +72748,7 @@ design: (work@top) \_case_item: , line:1432:21, endln:1432:49 |vpiCondition: \_constant: , line:1432:36, endln:1432:48 - |vpiDecompile:8'b0000_1100 + |vpiDecompile:8'b00001100 |vpiSize:8 |BIN:00001100 |vpiConstType:3 @@ -72770,7 +72770,7 @@ design: (work@top) \_case_item: , line:1433:21, endln:1433:49 |vpiCondition: \_constant: , line:1433:36, endln:1433:48 - |vpiDecompile:8'b0001_1000 + |vpiDecompile:8'b00011000 |vpiSize:8 |BIN:00011000 |vpiConstType:3 @@ -72792,7 +72792,7 @@ design: (work@top) \_case_item: , line:1434:21, endln:1434:49 |vpiCondition: \_constant: , line:1434:36, endln:1434:48 - |vpiDecompile:8'b0011_0000 + |vpiDecompile:8'b00110000 |vpiSize:8 |BIN:00110000 |vpiConstType:3 @@ -72814,7 +72814,7 @@ design: (work@top) \_case_item: , line:1435:21, endln:1435:49 |vpiCondition: \_constant: , line:1435:36, endln:1435:48 - |vpiDecompile:8'b0110_0000 + |vpiDecompile:8'b01100000 |vpiSize:8 |BIN:01100000 |vpiConstType:3 @@ -72836,7 +72836,7 @@ design: (work@top) \_case_item: , line:1436:21, endln:1436:49 |vpiCondition: \_constant: , line:1436:36, endln:1436:48 - |vpiDecompile:8'b1100_0000 + |vpiDecompile:8'b11000000 |vpiSize:8 |BIN:11000000 |vpiConstType:3 @@ -72902,7 +72902,7 @@ design: (work@top) \_case_item: , line:1441:21, endln:1441:49 |vpiCondition: \_constant: , line:1441:36, endln:1441:48 - |vpiDecompile:8'b0000_0001 + |vpiDecompile:8'b00000001 |vpiSize:8 |BIN:00000001 |vpiConstType:3 @@ -72924,7 +72924,7 @@ design: (work@top) \_case_item: , line:1442:21, endln:1442:49 |vpiCondition: \_constant: , line:1442:36, endln:1442:48 - |vpiDecompile:8'b0000_0010 + |vpiDecompile:8'b00000010 |vpiSize:8 |BIN:00000010 |vpiConstType:3 @@ -72946,7 +72946,7 @@ design: (work@top) \_case_item: , line:1443:21, endln:1443:49 |vpiCondition: \_constant: , line:1443:36, endln:1443:48 - |vpiDecompile:8'b0000_0100 + |vpiDecompile:8'b00000100 |vpiSize:8 |BIN:00000100 |vpiConstType:3 @@ -72968,7 +72968,7 @@ design: (work@top) \_case_item: , line:1444:21, endln:1444:49 |vpiCondition: \_constant: , line:1444:36, endln:1444:48 - |vpiDecompile:8'b0000_1000 + |vpiDecompile:8'b00001000 |vpiSize:8 |BIN:00001000 |vpiConstType:3 @@ -72990,7 +72990,7 @@ design: (work@top) \_case_item: , line:1445:21, endln:1445:49 |vpiCondition: \_constant: , line:1445:36, endln:1445:48 - |vpiDecompile:8'b0001_0000 + |vpiDecompile:8'b00010000 |vpiSize:8 |BIN:00010000 |vpiConstType:3 @@ -73012,7 +73012,7 @@ design: (work@top) \_case_item: , line:1446:21, endln:1446:49 |vpiCondition: \_constant: , line:1446:36, endln:1446:48 - |vpiDecompile:8'b0010_0000 + |vpiDecompile:8'b00100000 |vpiSize:8 |BIN:00100000 |vpiConstType:3 @@ -73034,7 +73034,7 @@ design: (work@top) \_case_item: , line:1447:21, endln:1447:49 |vpiCondition: \_constant: , line:1447:36, endln:1447:48 - |vpiDecompile:8'b0100_0000 + |vpiDecompile:8'b01000000 |vpiSize:8 |BIN:01000000 |vpiConstType:3 @@ -73056,7 +73056,7 @@ design: (work@top) \_case_item: , line:1448:21, endln:1448:49 |vpiCondition: \_constant: , line:1448:36, endln:1448:48 - |vpiDecompile:8'b1000_0000 + |vpiDecompile:8'b10000000 |vpiSize:8 |BIN:10000000 |vpiConstType:3 @@ -74806,7 +74806,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGINF |BIN:0000000001 - |vpiDecompile:10'b00_0000_0001 + |vpiDecompile:10'b0000000001 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGNORM), line:1624:5, endln:1624:34 @@ -74814,7 +74814,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGNORM |BIN:0000000010 - |vpiDecompile:10'b00_0000_0010 + |vpiDecompile:10'b0000000010 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGSUBNORM), line:1625:5, endln:1625:34 @@ -74822,7 +74822,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGSUBNORM |BIN:0000000100 - |vpiDecompile:10'b00_0000_0100 + |vpiDecompile:10'b0000000100 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGZERO), line:1626:5, endln:1626:34 @@ -74830,7 +74830,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGZERO |BIN:0000001000 - |vpiDecompile:10'b00_0000_1000 + |vpiDecompile:10'b0000001000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSZERO), line:1627:5, endln:1627:34 @@ -74838,7 +74838,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSZERO |BIN:0000010000 - |vpiDecompile:10'b00_0001_0000 + |vpiDecompile:10'b0000010000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSSUBNORM), line:1628:5, endln:1628:34 @@ -74846,7 +74846,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSSUBNORM |BIN:0000100000 - |vpiDecompile:10'b00_0010_0000 + |vpiDecompile:10'b0000100000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSNORM), line:1629:5, endln:1629:34 @@ -74854,7 +74854,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSNORM |BIN:0001000000 - |vpiDecompile:10'b00_0100_0000 + |vpiDecompile:10'b0001000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSINF), line:1630:5, endln:1630:34 @@ -74862,7 +74862,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSINF |BIN:0010000000 - |vpiDecompile:10'b00_1000_0000 + |vpiDecompile:10'b0010000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (SNAN), line:1631:5, endln:1631:34 @@ -74870,7 +74870,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:SNAN |BIN:0100000000 - |vpiDecompile:10'b01_0000_0000 + |vpiDecompile:10'b0100000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (QNAN), line:1632:5, endln:1632:34 @@ -74878,7 +74878,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:QNAN |BIN:1000000000 - |vpiDecompile:10'b10_0000_0000 + |vpiDecompile:10'b1000000000 |vpiSize:10 |vpiTypedef: \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:37 diff --git a/tests/ArianeElab2/ArianeElab2.log b/tests/ArianeElab2/ArianeElab2.log index aff55a3625..ba7e738063 100644 --- a/tests/ArianeElab2/ArianeElab2.log +++ b/tests/ArianeElab2/ArianeElab2.log @@ -23300,7 +23300,7 @@ design: (work@top) \_constant: , line:183:34, endln:183:46 |vpiParent: \_param_assign: , line:183:16, endln:183:46 - |vpiDecompile:7'b00_000_11 + |vpiDecompile:7'b0000011 |vpiSize:7 |BIN:0000011 |vpiTypespec: @@ -23321,7 +23321,7 @@ design: (work@top) \_constant: , line:184:34, endln:184:46 |vpiParent: \_param_assign: , line:184:16, endln:184:46 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiTypespec: @@ -23342,7 +23342,7 @@ design: (work@top) \_constant: , line:185:34, endln:185:46 |vpiParent: \_param_assign: , line:185:16, endln:185:46 - |vpiDecompile:7'b00_010_11 + |vpiDecompile:7'b0001011 |vpiSize:7 |BIN:0001011 |vpiTypespec: @@ -23363,7 +23363,7 @@ design: (work@top) \_constant: , line:186:34, endln:186:46 |vpiParent: \_param_assign: , line:186:16, endln:186:46 - |vpiDecompile:7'b00_011_11 + |vpiDecompile:7'b0001111 |vpiSize:7 |BIN:0001111 |vpiTypespec: @@ -23384,7 +23384,7 @@ design: (work@top) \_constant: , line:187:34, endln:187:46 |vpiParent: \_param_assign: , line:187:16, endln:187:46 - |vpiDecompile:7'b00_100_11 + |vpiDecompile:7'b0010011 |vpiSize:7 |BIN:0010011 |vpiTypespec: @@ -23405,7 +23405,7 @@ design: (work@top) \_constant: , line:188:34, endln:188:46 |vpiParent: \_param_assign: , line:188:16, endln:188:46 - |vpiDecompile:7'b00_101_11 + |vpiDecompile:7'b0010111 |vpiSize:7 |BIN:0010111 |vpiTypespec: @@ -23426,7 +23426,7 @@ design: (work@top) \_constant: , line:189:34, endln:189:46 |vpiParent: \_param_assign: , line:189:16, endln:189:46 - |vpiDecompile:7'b00_110_11 + |vpiDecompile:7'b0011011 |vpiSize:7 |BIN:0011011 |vpiTypespec: @@ -23447,7 +23447,7 @@ design: (work@top) \_constant: , line:191:34, endln:191:46 |vpiParent: \_param_assign: , line:191:16, endln:191:46 - |vpiDecompile:7'b01_000_11 + |vpiDecompile:7'b0100011 |vpiSize:7 |BIN:0100011 |vpiTypespec: @@ -23468,7 +23468,7 @@ design: (work@top) \_constant: , line:192:34, endln:192:46 |vpiParent: \_param_assign: , line:192:16, endln:192:46 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiTypespec: @@ -23489,7 +23489,7 @@ design: (work@top) \_constant: , line:193:34, endln:193:46 |vpiParent: \_param_assign: , line:193:16, endln:193:46 - |vpiDecompile:7'b01_010_11 + |vpiDecompile:7'b0101011 |vpiSize:7 |BIN:0101011 |vpiTypespec: @@ -23510,7 +23510,7 @@ design: (work@top) \_constant: , line:194:34, endln:194:46 |vpiParent: \_param_assign: , line:194:16, endln:194:46 - |vpiDecompile:7'b01_011_11 + |vpiDecompile:7'b0101111 |vpiSize:7 |BIN:0101111 |vpiTypespec: @@ -23531,7 +23531,7 @@ design: (work@top) \_constant: , line:195:34, endln:195:46 |vpiParent: \_param_assign: , line:195:16, endln:195:46 - |vpiDecompile:7'b01_100_11 + |vpiDecompile:7'b0110011 |vpiSize:7 |BIN:0110011 |vpiTypespec: @@ -23552,7 +23552,7 @@ design: (work@top) \_constant: , line:196:34, endln:196:46 |vpiParent: \_param_assign: , line:196:16, endln:196:46 - |vpiDecompile:7'b01_101_11 + |vpiDecompile:7'b0110111 |vpiSize:7 |BIN:0110111 |vpiTypespec: @@ -23573,7 +23573,7 @@ design: (work@top) \_constant: , line:197:34, endln:197:46 |vpiParent: \_param_assign: , line:197:16, endln:197:46 - |vpiDecompile:7'b01_110_11 + |vpiDecompile:7'b0111011 |vpiSize:7 |BIN:0111011 |vpiTypespec: @@ -23594,7 +23594,7 @@ design: (work@top) \_constant: , line:199:34, endln:199:46 |vpiParent: \_param_assign: , line:199:16, endln:199:46 - |vpiDecompile:7'b10_000_11 + |vpiDecompile:7'b1000011 |vpiSize:7 |BIN:1000011 |vpiTypespec: @@ -23615,7 +23615,7 @@ design: (work@top) \_constant: , line:200:34, endln:200:46 |vpiParent: \_param_assign: , line:200:16, endln:200:46 - |vpiDecompile:7'b10_001_11 + |vpiDecompile:7'b1000111 |vpiSize:7 |BIN:1000111 |vpiTypespec: @@ -23636,7 +23636,7 @@ design: (work@top) \_constant: , line:201:34, endln:201:46 |vpiParent: \_param_assign: , line:201:16, endln:201:46 - |vpiDecompile:7'b10_010_11 + |vpiDecompile:7'b1001011 |vpiSize:7 |BIN:1001011 |vpiTypespec: @@ -23657,7 +23657,7 @@ design: (work@top) \_constant: , line:202:34, endln:202:46 |vpiParent: \_param_assign: , line:202:16, endln:202:46 - |vpiDecompile:7'b10_011_11 + |vpiDecompile:7'b1001111 |vpiSize:7 |BIN:1001111 |vpiTypespec: @@ -23678,7 +23678,7 @@ design: (work@top) \_constant: , line:203:34, endln:203:46 |vpiParent: \_param_assign: , line:203:16, endln:203:46 - |vpiDecompile:7'b10_100_11 + |vpiDecompile:7'b1010011 |vpiSize:7 |BIN:1010011 |vpiTypespec: @@ -23699,7 +23699,7 @@ design: (work@top) \_constant: , line:204:34, endln:204:46 |vpiParent: \_param_assign: , line:204:16, endln:204:46 - |vpiDecompile:7'b10_101_11 + |vpiDecompile:7'b1010111 |vpiSize:7 |BIN:1010111 |vpiTypespec: @@ -23720,7 +23720,7 @@ design: (work@top) \_constant: , line:205:34, endln:205:46 |vpiParent: \_param_assign: , line:205:16, endln:205:46 - |vpiDecompile:7'b10_110_11 + |vpiDecompile:7'b1011011 |vpiSize:7 |BIN:1011011 |vpiTypespec: @@ -23741,7 +23741,7 @@ design: (work@top) \_constant: , line:207:34, endln:207:46 |vpiParent: \_param_assign: , line:207:16, endln:207:46 - |vpiDecompile:7'b11_000_11 + |vpiDecompile:7'b1100011 |vpiSize:7 |BIN:1100011 |vpiTypespec: @@ -23762,7 +23762,7 @@ design: (work@top) \_constant: , line:208:34, endln:208:46 |vpiParent: \_param_assign: , line:208:16, endln:208:46 - |vpiDecompile:7'b11_001_11 + |vpiDecompile:7'b1100111 |vpiSize:7 |BIN:1100111 |vpiTypespec: @@ -23783,7 +23783,7 @@ design: (work@top) \_constant: , line:209:34, endln:209:46 |vpiParent: \_param_assign: , line:209:16, endln:209:46 - |vpiDecompile:7'b11_010_11 + |vpiDecompile:7'b1101011 |vpiSize:7 |BIN:1101011 |vpiTypespec: @@ -23804,7 +23804,7 @@ design: (work@top) \_constant: , line:210:34, endln:210:46 |vpiParent: \_param_assign: , line:210:16, endln:210:46 - |vpiDecompile:7'b11_011_11 + |vpiDecompile:7'b1101111 |vpiSize:7 |BIN:1101111 |vpiTypespec: @@ -23825,7 +23825,7 @@ design: (work@top) \_constant: , line:211:34, endln:211:46 |vpiParent: \_param_assign: , line:211:16, endln:211:46 - |vpiDecompile:7'b11_100_11 + |vpiDecompile:7'b1110011 |vpiSize:7 |BIN:1110011 |vpiTypespec: @@ -23846,7 +23846,7 @@ design: (work@top) \_constant: , line:212:34, endln:212:46 |vpiParent: \_param_assign: , line:212:16, endln:212:46 - |vpiDecompile:7'b11_101_11 + |vpiDecompile:7'b1110111 |vpiSize:7 |BIN:1110111 |vpiTypespec: @@ -23867,7 +23867,7 @@ design: (work@top) \_constant: , line:213:34, endln:213:46 |vpiParent: \_param_assign: , line:213:16, endln:213:46 - |vpiDecompile:7'b11_110_11 + |vpiDecompile:7'b1111011 |vpiSize:7 |BIN:1111011 |vpiTypespec: @@ -31204,7 +31204,7 @@ design: (work@top) \_io_decl: (dest), line:601:78, endln:601:82 |vpiOperand: \_constant: , line:603:49, endln:603:61 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiConstType:3 @@ -31361,7 +31361,7 @@ design: (work@top) |vpiConstType:9 |vpiOperand: \_constant: , line:608:61, endln:608:73 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiConstType:3 @@ -43559,7 +43559,7 @@ design: (work@top) \_begin: (ariane_pkg::be_gen), line:1416:20, endln:1418:16 |vpiCondition: \_constant: , line:1417:24, endln:1417:36 - |vpiDecompile:8'b1111_1111 + |vpiDecompile:8'b11111111 |vpiSize:8 |BIN:11111111 |vpiConstType:3 @@ -43625,7 +43625,7 @@ design: (work@top) \_case_item: , line:1421:21, endln:1421:49 |vpiCondition: \_constant: , line:1421:36, endln:1421:48 - |vpiDecompile:8'b0000_1111 + |vpiDecompile:8'b00001111 |vpiSize:8 |BIN:00001111 |vpiConstType:3 @@ -43647,7 +43647,7 @@ design: (work@top) \_case_item: , line:1422:21, endln:1422:49 |vpiCondition: \_constant: , line:1422:36, endln:1422:48 - |vpiDecompile:8'b0001_1110 + |vpiDecompile:8'b00011110 |vpiSize:8 |BIN:00011110 |vpiConstType:3 @@ -43669,7 +43669,7 @@ design: (work@top) \_case_item: , line:1423:21, endln:1423:49 |vpiCondition: \_constant: , line:1423:36, endln:1423:48 - |vpiDecompile:8'b0011_1100 + |vpiDecompile:8'b00111100 |vpiSize:8 |BIN:00111100 |vpiConstType:3 @@ -43691,7 +43691,7 @@ design: (work@top) \_case_item: , line:1424:21, endln:1424:49 |vpiCondition: \_constant: , line:1424:36, endln:1424:48 - |vpiDecompile:8'b0111_1000 + |vpiDecompile:8'b01111000 |vpiSize:8 |BIN:01111000 |vpiConstType:3 @@ -43713,7 +43713,7 @@ design: (work@top) \_case_item: , line:1425:21, endln:1425:49 |vpiCondition: \_constant: , line:1425:36, endln:1425:48 - |vpiDecompile:8'b1111_0000 + |vpiDecompile:8'b11110000 |vpiSize:8 |BIN:11110000 |vpiConstType:3 @@ -43779,7 +43779,7 @@ design: (work@top) \_case_item: , line:1430:21, endln:1430:49 |vpiCondition: \_constant: , line:1430:36, endln:1430:48 - |vpiDecompile:8'b0000_0011 + |vpiDecompile:8'b00000011 |vpiSize:8 |BIN:00000011 |vpiConstType:3 @@ -43801,7 +43801,7 @@ design: (work@top) \_case_item: , line:1431:21, endln:1431:49 |vpiCondition: \_constant: , line:1431:36, endln:1431:48 - |vpiDecompile:8'b0000_0110 + |vpiDecompile:8'b00000110 |vpiSize:8 |BIN:00000110 |vpiConstType:3 @@ -43823,7 +43823,7 @@ design: (work@top) \_case_item: , line:1432:21, endln:1432:49 |vpiCondition: \_constant: , line:1432:36, endln:1432:48 - |vpiDecompile:8'b0000_1100 + |vpiDecompile:8'b00001100 |vpiSize:8 |BIN:00001100 |vpiConstType:3 @@ -43845,7 +43845,7 @@ design: (work@top) \_case_item: , line:1433:21, endln:1433:49 |vpiCondition: \_constant: , line:1433:36, endln:1433:48 - |vpiDecompile:8'b0001_1000 + |vpiDecompile:8'b00011000 |vpiSize:8 |BIN:00011000 |vpiConstType:3 @@ -43867,7 +43867,7 @@ design: (work@top) \_case_item: , line:1434:21, endln:1434:49 |vpiCondition: \_constant: , line:1434:36, endln:1434:48 - |vpiDecompile:8'b0011_0000 + |vpiDecompile:8'b00110000 |vpiSize:8 |BIN:00110000 |vpiConstType:3 @@ -43889,7 +43889,7 @@ design: (work@top) \_case_item: , line:1435:21, endln:1435:49 |vpiCondition: \_constant: , line:1435:36, endln:1435:48 - |vpiDecompile:8'b0110_0000 + |vpiDecompile:8'b01100000 |vpiSize:8 |BIN:01100000 |vpiConstType:3 @@ -43911,7 +43911,7 @@ design: (work@top) \_case_item: , line:1436:21, endln:1436:49 |vpiCondition: \_constant: , line:1436:36, endln:1436:48 - |vpiDecompile:8'b1100_0000 + |vpiDecompile:8'b11000000 |vpiSize:8 |BIN:11000000 |vpiConstType:3 @@ -43977,7 +43977,7 @@ design: (work@top) \_case_item: , line:1441:21, endln:1441:49 |vpiCondition: \_constant: , line:1441:36, endln:1441:48 - |vpiDecompile:8'b0000_0001 + |vpiDecompile:8'b00000001 |vpiSize:8 |BIN:00000001 |vpiConstType:3 @@ -43999,7 +43999,7 @@ design: (work@top) \_case_item: , line:1442:21, endln:1442:49 |vpiCondition: \_constant: , line:1442:36, endln:1442:48 - |vpiDecompile:8'b0000_0010 + |vpiDecompile:8'b00000010 |vpiSize:8 |BIN:00000010 |vpiConstType:3 @@ -44021,7 +44021,7 @@ design: (work@top) \_case_item: , line:1443:21, endln:1443:49 |vpiCondition: \_constant: , line:1443:36, endln:1443:48 - |vpiDecompile:8'b0000_0100 + |vpiDecompile:8'b00000100 |vpiSize:8 |BIN:00000100 |vpiConstType:3 @@ -44043,7 +44043,7 @@ design: (work@top) \_case_item: , line:1444:21, endln:1444:49 |vpiCondition: \_constant: , line:1444:36, endln:1444:48 - |vpiDecompile:8'b0000_1000 + |vpiDecompile:8'b00001000 |vpiSize:8 |BIN:00001000 |vpiConstType:3 @@ -44065,7 +44065,7 @@ design: (work@top) \_case_item: , line:1445:21, endln:1445:49 |vpiCondition: \_constant: , line:1445:36, endln:1445:48 - |vpiDecompile:8'b0001_0000 + |vpiDecompile:8'b00010000 |vpiSize:8 |BIN:00010000 |vpiConstType:3 @@ -44087,7 +44087,7 @@ design: (work@top) \_case_item: , line:1446:21, endln:1446:49 |vpiCondition: \_constant: , line:1446:36, endln:1446:48 - |vpiDecompile:8'b0010_0000 + |vpiDecompile:8'b00100000 |vpiSize:8 |BIN:00100000 |vpiConstType:3 @@ -44109,7 +44109,7 @@ design: (work@top) \_case_item: , line:1447:21, endln:1447:49 |vpiCondition: \_constant: , line:1447:36, endln:1447:48 - |vpiDecompile:8'b0100_0000 + |vpiDecompile:8'b01000000 |vpiSize:8 |BIN:01000000 |vpiConstType:3 @@ -44131,7 +44131,7 @@ design: (work@top) \_case_item: , line:1448:21, endln:1448:49 |vpiCondition: \_constant: , line:1448:36, endln:1448:48 - |vpiDecompile:8'b1000_0000 + |vpiDecompile:8'b10000000 |vpiSize:8 |BIN:10000000 |vpiConstType:3 @@ -46039,7 +46039,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGINF |BIN:0000000001 - |vpiDecompile:10'b00_0000_0001 + |vpiDecompile:10'b0000000001 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGNORM), line:1624:5, endln:1624:34 @@ -46047,7 +46047,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGNORM |BIN:0000000010 - |vpiDecompile:10'b00_0000_0010 + |vpiDecompile:10'b0000000010 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGSUBNORM), line:1625:5, endln:1625:34 @@ -46055,7 +46055,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGSUBNORM |BIN:0000000100 - |vpiDecompile:10'b00_0000_0100 + |vpiDecompile:10'b0000000100 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGZERO), line:1626:5, endln:1626:34 @@ -46063,7 +46063,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGZERO |BIN:0000001000 - |vpiDecompile:10'b00_0000_1000 + |vpiDecompile:10'b0000001000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSZERO), line:1627:5, endln:1627:34 @@ -46071,7 +46071,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSZERO |BIN:0000010000 - |vpiDecompile:10'b00_0001_0000 + |vpiDecompile:10'b0000010000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSSUBNORM), line:1628:5, endln:1628:34 @@ -46079,7 +46079,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSSUBNORM |BIN:0000100000 - |vpiDecompile:10'b00_0010_0000 + |vpiDecompile:10'b0000100000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSNORM), line:1629:5, endln:1629:34 @@ -46087,7 +46087,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSNORM |BIN:0001000000 - |vpiDecompile:10'b00_0100_0000 + |vpiDecompile:10'b0001000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSINF), line:1630:5, endln:1630:34 @@ -46095,7 +46095,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSINF |BIN:0010000000 - |vpiDecompile:10'b00_1000_0000 + |vpiDecompile:10'b0010000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (SNAN), line:1631:5, endln:1631:34 @@ -46103,7 +46103,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:SNAN |BIN:0100000000 - |vpiDecompile:10'b01_0000_0000 + |vpiDecompile:10'b0100000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (QNAN), line:1632:5, endln:1632:34 @@ -46111,7 +46111,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:QNAN |BIN:1000000000 - |vpiDecompile:10'b10_0000_0000 + |vpiDecompile:10'b1000000000 |vpiSize:10 |vpiTypedef: \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:37 @@ -54205,7 +54205,7 @@ design: (work@top) \_constant: , line:183:34, endln:183:46 |vpiParent: \_param_assign: , line:183:16, endln:183:46 - |vpiDecompile:7'b00_000_11 + |vpiDecompile:7'b0000011 |vpiSize:7 |BIN:0000011 |vpiTypespec: @@ -54226,7 +54226,7 @@ design: (work@top) \_constant: , line:184:34, endln:184:46 |vpiParent: \_param_assign: , line:184:16, endln:184:46 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiTypespec: @@ -54247,7 +54247,7 @@ design: (work@top) \_constant: , line:185:34, endln:185:46 |vpiParent: \_param_assign: , line:185:16, endln:185:46 - |vpiDecompile:7'b00_010_11 + |vpiDecompile:7'b0001011 |vpiSize:7 |BIN:0001011 |vpiTypespec: @@ -54268,7 +54268,7 @@ design: (work@top) \_constant: , line:186:34, endln:186:46 |vpiParent: \_param_assign: , line:186:16, endln:186:46 - |vpiDecompile:7'b00_011_11 + |vpiDecompile:7'b0001111 |vpiSize:7 |BIN:0001111 |vpiTypespec: @@ -54289,7 +54289,7 @@ design: (work@top) \_constant: , line:187:34, endln:187:46 |vpiParent: \_param_assign: , line:187:16, endln:187:46 - |vpiDecompile:7'b00_100_11 + |vpiDecompile:7'b0010011 |vpiSize:7 |BIN:0010011 |vpiTypespec: @@ -54310,7 +54310,7 @@ design: (work@top) \_constant: , line:188:34, endln:188:46 |vpiParent: \_param_assign: , line:188:16, endln:188:46 - |vpiDecompile:7'b00_101_11 + |vpiDecompile:7'b0010111 |vpiSize:7 |BIN:0010111 |vpiTypespec: @@ -54331,7 +54331,7 @@ design: (work@top) \_constant: , line:189:34, endln:189:46 |vpiParent: \_param_assign: , line:189:16, endln:189:46 - |vpiDecompile:7'b00_110_11 + |vpiDecompile:7'b0011011 |vpiSize:7 |BIN:0011011 |vpiTypespec: @@ -54352,7 +54352,7 @@ design: (work@top) \_constant: , line:191:34, endln:191:46 |vpiParent: \_param_assign: , line:191:16, endln:191:46 - |vpiDecompile:7'b01_000_11 + |vpiDecompile:7'b0100011 |vpiSize:7 |BIN:0100011 |vpiTypespec: @@ -54373,7 +54373,7 @@ design: (work@top) \_constant: , line:192:34, endln:192:46 |vpiParent: \_param_assign: , line:192:16, endln:192:46 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiTypespec: @@ -54394,7 +54394,7 @@ design: (work@top) \_constant: , line:193:34, endln:193:46 |vpiParent: \_param_assign: , line:193:16, endln:193:46 - |vpiDecompile:7'b01_010_11 + |vpiDecompile:7'b0101011 |vpiSize:7 |BIN:0101011 |vpiTypespec: @@ -54415,7 +54415,7 @@ design: (work@top) \_constant: , line:194:34, endln:194:46 |vpiParent: \_param_assign: , line:194:16, endln:194:46 - |vpiDecompile:7'b01_011_11 + |vpiDecompile:7'b0101111 |vpiSize:7 |BIN:0101111 |vpiTypespec: @@ -54436,7 +54436,7 @@ design: (work@top) \_constant: , line:195:34, endln:195:46 |vpiParent: \_param_assign: , line:195:16, endln:195:46 - |vpiDecompile:7'b01_100_11 + |vpiDecompile:7'b0110011 |vpiSize:7 |BIN:0110011 |vpiTypespec: @@ -54457,7 +54457,7 @@ design: (work@top) \_constant: , line:196:34, endln:196:46 |vpiParent: \_param_assign: , line:196:16, endln:196:46 - |vpiDecompile:7'b01_101_11 + |vpiDecompile:7'b0110111 |vpiSize:7 |BIN:0110111 |vpiTypespec: @@ -54478,7 +54478,7 @@ design: (work@top) \_constant: , line:197:34, endln:197:46 |vpiParent: \_param_assign: , line:197:16, endln:197:46 - |vpiDecompile:7'b01_110_11 + |vpiDecompile:7'b0111011 |vpiSize:7 |BIN:0111011 |vpiTypespec: @@ -54499,7 +54499,7 @@ design: (work@top) \_constant: , line:199:34, endln:199:46 |vpiParent: \_param_assign: , line:199:16, endln:199:46 - |vpiDecompile:7'b10_000_11 + |vpiDecompile:7'b1000011 |vpiSize:7 |BIN:1000011 |vpiTypespec: @@ -54520,7 +54520,7 @@ design: (work@top) \_constant: , line:200:34, endln:200:46 |vpiParent: \_param_assign: , line:200:16, endln:200:46 - |vpiDecompile:7'b10_001_11 + |vpiDecompile:7'b1000111 |vpiSize:7 |BIN:1000111 |vpiTypespec: @@ -54541,7 +54541,7 @@ design: (work@top) \_constant: , line:201:34, endln:201:46 |vpiParent: \_param_assign: , line:201:16, endln:201:46 - |vpiDecompile:7'b10_010_11 + |vpiDecompile:7'b1001011 |vpiSize:7 |BIN:1001011 |vpiTypespec: @@ -54562,7 +54562,7 @@ design: (work@top) \_constant: , line:202:34, endln:202:46 |vpiParent: \_param_assign: , line:202:16, endln:202:46 - |vpiDecompile:7'b10_011_11 + |vpiDecompile:7'b1001111 |vpiSize:7 |BIN:1001111 |vpiTypespec: @@ -54583,7 +54583,7 @@ design: (work@top) \_constant: , line:203:34, endln:203:46 |vpiParent: \_param_assign: , line:203:16, endln:203:46 - |vpiDecompile:7'b10_100_11 + |vpiDecompile:7'b1010011 |vpiSize:7 |BIN:1010011 |vpiTypespec: @@ -54604,7 +54604,7 @@ design: (work@top) \_constant: , line:204:34, endln:204:46 |vpiParent: \_param_assign: , line:204:16, endln:204:46 - |vpiDecompile:7'b10_101_11 + |vpiDecompile:7'b1010111 |vpiSize:7 |BIN:1010111 |vpiTypespec: @@ -54625,7 +54625,7 @@ design: (work@top) \_constant: , line:205:34, endln:205:46 |vpiParent: \_param_assign: , line:205:16, endln:205:46 - |vpiDecompile:7'b10_110_11 + |vpiDecompile:7'b1011011 |vpiSize:7 |BIN:1011011 |vpiTypespec: @@ -54646,7 +54646,7 @@ design: (work@top) \_constant: , line:207:34, endln:207:46 |vpiParent: \_param_assign: , line:207:16, endln:207:46 - |vpiDecompile:7'b11_000_11 + |vpiDecompile:7'b1100011 |vpiSize:7 |BIN:1100011 |vpiTypespec: @@ -54667,7 +54667,7 @@ design: (work@top) \_constant: , line:208:34, endln:208:46 |vpiParent: \_param_assign: , line:208:16, endln:208:46 - |vpiDecompile:7'b11_001_11 + |vpiDecompile:7'b1100111 |vpiSize:7 |BIN:1100111 |vpiTypespec: @@ -54688,7 +54688,7 @@ design: (work@top) \_constant: , line:209:34, endln:209:46 |vpiParent: \_param_assign: , line:209:16, endln:209:46 - |vpiDecompile:7'b11_010_11 + |vpiDecompile:7'b1101011 |vpiSize:7 |BIN:1101011 |vpiTypespec: @@ -54709,7 +54709,7 @@ design: (work@top) \_constant: , line:210:34, endln:210:46 |vpiParent: \_param_assign: , line:210:16, endln:210:46 - |vpiDecompile:7'b11_011_11 + |vpiDecompile:7'b1101111 |vpiSize:7 |BIN:1101111 |vpiTypespec: @@ -54730,7 +54730,7 @@ design: (work@top) \_constant: , line:211:34, endln:211:46 |vpiParent: \_param_assign: , line:211:16, endln:211:46 - |vpiDecompile:7'b11_100_11 + |vpiDecompile:7'b1110011 |vpiSize:7 |BIN:1110011 |vpiTypespec: @@ -54751,7 +54751,7 @@ design: (work@top) \_constant: , line:212:34, endln:212:46 |vpiParent: \_param_assign: , line:212:16, endln:212:46 - |vpiDecompile:7'b11_101_11 + |vpiDecompile:7'b1110111 |vpiSize:7 |BIN:1110111 |vpiTypespec: @@ -54772,7 +54772,7 @@ design: (work@top) \_constant: , line:213:34, endln:213:46 |vpiParent: \_param_assign: , line:213:16, endln:213:46 - |vpiDecompile:7'b11_110_11 + |vpiDecompile:7'b1111011 |vpiSize:7 |BIN:1111011 |vpiTypespec: @@ -61869,7 +61869,7 @@ design: (work@top) \_io_decl: (dest), line:601:78, endln:601:82 |vpiOperand: \_constant: , line:603:49, endln:603:61 - |vpiDecompile:7'b00_001_11 + |vpiDecompile:7'b0000111 |vpiSize:7 |BIN:0000111 |vpiConstType:3 @@ -62026,7 +62026,7 @@ design: (work@top) |vpiConstType:9 |vpiOperand: \_constant: , line:608:61, endln:608:73 - |vpiDecompile:7'b01_001_11 + |vpiDecompile:7'b0100111 |vpiSize:7 |BIN:0100111 |vpiConstType:3 @@ -73091,7 +73091,7 @@ design: (work@top) \_begin: (ariane_pkg::be_gen), line:1416:20, endln:1418:16 |vpiCondition: \_constant: , line:1417:24, endln:1417:36 - |vpiDecompile:8'b1111_1111 + |vpiDecompile:8'b11111111 |vpiSize:8 |BIN:11111111 |vpiConstType:3 @@ -73157,7 +73157,7 @@ design: (work@top) \_case_item: , line:1421:21, endln:1421:49 |vpiCondition: \_constant: , line:1421:36, endln:1421:48 - |vpiDecompile:8'b0000_1111 + |vpiDecompile:8'b00001111 |vpiSize:8 |BIN:00001111 |vpiConstType:3 @@ -73179,7 +73179,7 @@ design: (work@top) \_case_item: , line:1422:21, endln:1422:49 |vpiCondition: \_constant: , line:1422:36, endln:1422:48 - |vpiDecompile:8'b0001_1110 + |vpiDecompile:8'b00011110 |vpiSize:8 |BIN:00011110 |vpiConstType:3 @@ -73201,7 +73201,7 @@ design: (work@top) \_case_item: , line:1423:21, endln:1423:49 |vpiCondition: \_constant: , line:1423:36, endln:1423:48 - |vpiDecompile:8'b0011_1100 + |vpiDecompile:8'b00111100 |vpiSize:8 |BIN:00111100 |vpiConstType:3 @@ -73223,7 +73223,7 @@ design: (work@top) \_case_item: , line:1424:21, endln:1424:49 |vpiCondition: \_constant: , line:1424:36, endln:1424:48 - |vpiDecompile:8'b0111_1000 + |vpiDecompile:8'b01111000 |vpiSize:8 |BIN:01111000 |vpiConstType:3 @@ -73245,7 +73245,7 @@ design: (work@top) \_case_item: , line:1425:21, endln:1425:49 |vpiCondition: \_constant: , line:1425:36, endln:1425:48 - |vpiDecompile:8'b1111_0000 + |vpiDecompile:8'b11110000 |vpiSize:8 |BIN:11110000 |vpiConstType:3 @@ -73311,7 +73311,7 @@ design: (work@top) \_case_item: , line:1430:21, endln:1430:49 |vpiCondition: \_constant: , line:1430:36, endln:1430:48 - |vpiDecompile:8'b0000_0011 + |vpiDecompile:8'b00000011 |vpiSize:8 |BIN:00000011 |vpiConstType:3 @@ -73333,7 +73333,7 @@ design: (work@top) \_case_item: , line:1431:21, endln:1431:49 |vpiCondition: \_constant: , line:1431:36, endln:1431:48 - |vpiDecompile:8'b0000_0110 + |vpiDecompile:8'b00000110 |vpiSize:8 |BIN:00000110 |vpiConstType:3 @@ -73355,7 +73355,7 @@ design: (work@top) \_case_item: , line:1432:21, endln:1432:49 |vpiCondition: \_constant: , line:1432:36, endln:1432:48 - |vpiDecompile:8'b0000_1100 + |vpiDecompile:8'b00001100 |vpiSize:8 |BIN:00001100 |vpiConstType:3 @@ -73377,7 +73377,7 @@ design: (work@top) \_case_item: , line:1433:21, endln:1433:49 |vpiCondition: \_constant: , line:1433:36, endln:1433:48 - |vpiDecompile:8'b0001_1000 + |vpiDecompile:8'b00011000 |vpiSize:8 |BIN:00011000 |vpiConstType:3 @@ -73399,7 +73399,7 @@ design: (work@top) \_case_item: , line:1434:21, endln:1434:49 |vpiCondition: \_constant: , line:1434:36, endln:1434:48 - |vpiDecompile:8'b0011_0000 + |vpiDecompile:8'b00110000 |vpiSize:8 |BIN:00110000 |vpiConstType:3 @@ -73421,7 +73421,7 @@ design: (work@top) \_case_item: , line:1435:21, endln:1435:49 |vpiCondition: \_constant: , line:1435:36, endln:1435:48 - |vpiDecompile:8'b0110_0000 + |vpiDecompile:8'b01100000 |vpiSize:8 |BIN:01100000 |vpiConstType:3 @@ -73443,7 +73443,7 @@ design: (work@top) \_case_item: , line:1436:21, endln:1436:49 |vpiCondition: \_constant: , line:1436:36, endln:1436:48 - |vpiDecompile:8'b1100_0000 + |vpiDecompile:8'b11000000 |vpiSize:8 |BIN:11000000 |vpiConstType:3 @@ -73509,7 +73509,7 @@ design: (work@top) \_case_item: , line:1441:21, endln:1441:49 |vpiCondition: \_constant: , line:1441:36, endln:1441:48 - |vpiDecompile:8'b0000_0001 + |vpiDecompile:8'b00000001 |vpiSize:8 |BIN:00000001 |vpiConstType:3 @@ -73531,7 +73531,7 @@ design: (work@top) \_case_item: , line:1442:21, endln:1442:49 |vpiCondition: \_constant: , line:1442:36, endln:1442:48 - |vpiDecompile:8'b0000_0010 + |vpiDecompile:8'b00000010 |vpiSize:8 |BIN:00000010 |vpiConstType:3 @@ -73553,7 +73553,7 @@ design: (work@top) \_case_item: , line:1443:21, endln:1443:49 |vpiCondition: \_constant: , line:1443:36, endln:1443:48 - |vpiDecompile:8'b0000_0100 + |vpiDecompile:8'b00000100 |vpiSize:8 |BIN:00000100 |vpiConstType:3 @@ -73575,7 +73575,7 @@ design: (work@top) \_case_item: , line:1444:21, endln:1444:49 |vpiCondition: \_constant: , line:1444:36, endln:1444:48 - |vpiDecompile:8'b0000_1000 + |vpiDecompile:8'b00001000 |vpiSize:8 |BIN:00001000 |vpiConstType:3 @@ -73597,7 +73597,7 @@ design: (work@top) \_case_item: , line:1445:21, endln:1445:49 |vpiCondition: \_constant: , line:1445:36, endln:1445:48 - |vpiDecompile:8'b0001_0000 + |vpiDecompile:8'b00010000 |vpiSize:8 |BIN:00010000 |vpiConstType:3 @@ -73619,7 +73619,7 @@ design: (work@top) \_case_item: , line:1446:21, endln:1446:49 |vpiCondition: \_constant: , line:1446:36, endln:1446:48 - |vpiDecompile:8'b0010_0000 + |vpiDecompile:8'b00100000 |vpiSize:8 |BIN:00100000 |vpiConstType:3 @@ -73641,7 +73641,7 @@ design: (work@top) \_case_item: , line:1447:21, endln:1447:49 |vpiCondition: \_constant: , line:1447:36, endln:1447:48 - |vpiDecompile:8'b0100_0000 + |vpiDecompile:8'b01000000 |vpiSize:8 |BIN:01000000 |vpiConstType:3 @@ -73663,7 +73663,7 @@ design: (work@top) \_case_item: , line:1448:21, endln:1448:49 |vpiCondition: \_constant: , line:1448:36, endln:1448:48 - |vpiDecompile:8'b1000_0000 + |vpiDecompile:8'b10000000 |vpiSize:8 |BIN:10000000 |vpiConstType:3 @@ -75413,7 +75413,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGINF |BIN:0000000001 - |vpiDecompile:10'b00_0000_0001 + |vpiDecompile:10'b0000000001 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGNORM), line:1624:5, endln:1624:34 @@ -75421,7 +75421,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGNORM |BIN:0000000010 - |vpiDecompile:10'b00_0000_0010 + |vpiDecompile:10'b0000000010 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGSUBNORM), line:1625:5, endln:1625:34 @@ -75429,7 +75429,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGSUBNORM |BIN:0000000100 - |vpiDecompile:10'b00_0000_0100 + |vpiDecompile:10'b0000000100 |vpiSize:10 |vpiEnumConst: \_enum_const: (NEGZERO), line:1626:5, endln:1626:34 @@ -75437,7 +75437,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:NEGZERO |BIN:0000001000 - |vpiDecompile:10'b00_0000_1000 + |vpiDecompile:10'b0000001000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSZERO), line:1627:5, endln:1627:34 @@ -75445,7 +75445,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSZERO |BIN:0000010000 - |vpiDecompile:10'b00_0001_0000 + |vpiDecompile:10'b0000010000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSSUBNORM), line:1628:5, endln:1628:34 @@ -75453,7 +75453,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSSUBNORM |BIN:0000100000 - |vpiDecompile:10'b00_0010_0000 + |vpiDecompile:10'b0000100000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSNORM), line:1629:5, endln:1629:34 @@ -75461,7 +75461,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSNORM |BIN:0001000000 - |vpiDecompile:10'b00_0100_0000 + |vpiDecompile:10'b0001000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (POSINF), line:1630:5, endln:1630:34 @@ -75469,7 +75469,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:POSINF |BIN:0010000000 - |vpiDecompile:10'b00_1000_0000 + |vpiDecompile:10'b0010000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (SNAN), line:1631:5, endln:1631:34 @@ -75477,7 +75477,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:SNAN |BIN:0100000000 - |vpiDecompile:10'b01_0000_0000 + |vpiDecompile:10'b0100000000 |vpiSize:10 |vpiEnumConst: \_enum_const: (QNAN), line:1632:5, endln:1632:34 @@ -75485,7 +75485,7 @@ design: (work@top) \_enum_typespec: (fpnew_pkg::classmask_e), line:1622:3, endln:1633:17 |vpiName:QNAN |BIN:1000000000 - |vpiDecompile:10'b10_0000_0000 + |vpiDecompile:10'b1000000000 |vpiSize:10 |vpiTypedef: \_logic_typespec: (fpnew_pkg::fmt_logic_t), line:1528:11, endln:1528:37 diff --git a/tests/AssertDelayError/AssertDelayError.log b/tests/AssertDelayError/AssertDelayError.log index 4d381feca0..90d69edc2f 100644 --- a/tests/AssertDelayError/AssertDelayError.log +++ b/tests/AssertDelayError/AssertDelayError.log @@ -1740,7 +1740,7 @@ design: (work@tb_left_rotation) |vpiOpType:82 |vpiRhs: \_constant: , line:10:21, endln:10:33 - |vpiDecompile:8'b0000_0000 + |vpiDecompile:8'b00000000 |vpiSize:8 |BIN:00000000 |vpiConstType:3 @@ -1827,7 +1827,7 @@ design: (work@tb_left_rotation) |vpiBlocking:1 |vpiRhs: \_constant: , line:18:16, endln:18:28 - |vpiDecompile:8'b0000_0000 + |vpiDecompile:8'b00000000 |vpiSize:8 |BIN:00000000 |vpiConstType:3 diff --git a/tests/BindStmt2/BindStmt2.log b/tests/BindStmt2/BindStmt2.log index 8f6689176e..f87e11559e 100644 --- a/tests/BindStmt2/BindStmt2.log +++ b/tests/BindStmt2/BindStmt2.log @@ -1099,7 +1099,7 @@ design: (work@rv_dm) \_constant: , line:3:44, endln:3:57 |vpiParent: \_param_assign: , line:3:30, endln:3:57 - |vpiDecompile:32'h0000_0001 + |vpiDecompile:32'h00000001 |vpiSize:32 |HEX:00000001 |vpiTypespec: @@ -3527,5 +3527,5 @@ design: (work@rv_dm) ============================== End Linting Results ============================== ============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv | ${SURELOG_DIR}/build/regression/BindStmt2/roundtrip/dut_000.sv | 52 | 71 | +[roundtrip]: ${SURELOG_DIR}/tests/BindStmt2/dut.sv | ${SURELOG_DIR}/build/regression/BindStmt2/roundtrip/dut_000.sv | 53 | 71 | ============================== End RoundTrip Results ============================== diff --git a/tests/Bindings/Bindings.log b/tests/Bindings/Bindings.log index 28e30b969f..cc2f8fd00b 100644 --- a/tests/Bindings/Bindings.log +++ b/tests/Bindings/Bindings.log @@ -3262,7 +3262,7 @@ design: (work@dut1) \_constant: , line:102:5, endln:102:11 |vpiParent: \_case_item: , line:102:5, endln:102:73 - |vpiDecompile:2'b?_0 + |vpiDecompile:2'b?0 |vpiSize:2 |BIN:?0 |vpiConstType:3 @@ -3329,7 +3329,7 @@ design: (work@dut1) \_constant: , line:103:5, endln:103:11 |vpiParent: \_case_item: , line:103:5, endln:103:72 - |vpiDecompile:2'b0_1 + |vpiDecompile:2'b01 |vpiSize:2 |BIN:01 |vpiConstType:3 diff --git a/tests/BlackParrotConf/BlackParrotConf.log b/tests/BlackParrotConf/BlackParrotConf.log index 684874f960..cafeefae7e 100644 --- a/tests/BlackParrotConf/BlackParrotConf.log +++ b/tests/BlackParrotConf/BlackParrotConf.log @@ -21186,7 +21186,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -21207,7 +21207,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -21228,7 +21228,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -21249,7 +21249,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -21270,7 +21270,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -21291,7 +21291,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -21312,7 +21312,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -21333,7 +21333,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -21354,7 +21354,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -32458,7 +32458,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -32493,7 +32493,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -32528,7 +32528,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -32563,7 +32563,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -32598,7 +32598,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -32633,7 +32633,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -32668,7 +32668,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -32703,7 +32703,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -32738,7 +32738,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -40833,7 +40833,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -40868,7 +40868,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -40903,7 +40903,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -40938,7 +40938,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -40973,7 +40973,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -41008,7 +41008,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -41043,7 +41043,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -41078,7 +41078,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -41113,7 +41113,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -43310,7 +43310,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rqf |BIN:0000000000000001 - |vpiDecompile:16'b0000_0000_0000_0001 + |vpiDecompile:16'b0000000000000001 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_ucf), line:4979:4, endln:4979:58 @@ -43318,7 +43318,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_ucf |BIN:0000000000000010 - |vpiDecompile:16'b0000_0000_0000_0010 + |vpiDecompile:16'b0000000000000010 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_nerf), line:4980:4, endln:4980:58 @@ -43326,7 +43326,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_nerf |BIN:0000000000000100 - |vpiDecompile:16'b0000_0000_0000_0100 + |vpiDecompile:16'b0000000000000100 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_nwbf), line:4981:4, endln:4981:58 @@ -43334,7 +43334,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_nwbf |BIN:0000000000001000 - |vpiDecompile:16'b0000_0000_0000_1000 + |vpiDecompile:16'b0000000000001000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_pf), line:4982:4, endln:4982:58 @@ -43342,7 +43342,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_pf |BIN:0000000000010000 - |vpiDecompile:16'b0000_0000_0001_0000 + |vpiDecompile:16'b0000000000010000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_sf), line:4983:4, endln:4983:58 @@ -43350,7 +43350,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_sf |BIN:0000000000100000 - |vpiDecompile:16'b0000_0000_0010_0000 + |vpiDecompile:16'b0000000000100000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_csf), line:4984:4, endln:4984:58 @@ -43358,7 +43358,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_csf |BIN:0000000001000000 - |vpiDecompile:16'b0000_0000_0100_0000 + |vpiDecompile:16'b0000000001000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cef), line:4985:4, endln:4985:58 @@ -43366,7 +43366,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cef |BIN:0000000010000000 - |vpiDecompile:16'b0000_0000_1000_0000 + |vpiDecompile:16'b0000000010000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cmf), line:4986:4, endln:4986:58 @@ -43374,7 +43374,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cmf |BIN:0000000100000000 - |vpiDecompile:16'b0000_0001_0000_0000 + |vpiDecompile:16'b0000000100000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cof), line:4987:4, endln:4987:58 @@ -43382,7 +43382,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cof |BIN:0000001000000000 - |vpiDecompile:16'b0000_0010_0000_0000 + |vpiDecompile:16'b0000001000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cff), line:4988:4, endln:4988:58 @@ -43390,7 +43390,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cff |BIN:0000010000000000 - |vpiDecompile:16'b0000_0100_0000_0000 + |vpiDecompile:16'b0000010000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_rf), line:4989:4, endln:4989:58 @@ -43398,7 +43398,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rf |BIN:0000100000000000 - |vpiDecompile:16'b0000_1000_0000_0000 + |vpiDecompile:16'b0000100000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_uf), line:4990:4, endln:4990:58 @@ -43406,7 +43406,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_uf |BIN:0001000000000000 - |vpiDecompile:16'b0001_0000_0000_0000 + |vpiDecompile:16'b0001000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_arf), line:4991:4, endln:4991:58 @@ -43414,7 +43414,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_arf |BIN:0010000000000000 - |vpiDecompile:16'b0010_0000_0000_0000 + |vpiDecompile:16'b0010000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_anrf), line:4992:4, endln:4992:58 @@ -43422,7 +43422,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_anrf |BIN:0100000000000000 - |vpiDecompile:16'b0100_0000_0000_0000 + |vpiDecompile:16'b0100000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_rcf), line:4993:4, endln:4993:58 @@ -43430,7 +43430,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rcf |BIN:1000000000000000 - |vpiDecompile:16'b1000_0000_0000_0000 + |vpiDecompile:16'b1000000000000000 |vpiSize:16 |vpiTypedef: \_struct_typespec: (bp_me_pkg::bp_cce_inst_itype_s), line:5277:9, endln:5286:2 @@ -47335,7 +47335,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -47356,7 +47356,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -47377,7 +47377,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -47398,7 +47398,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -47419,7 +47419,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -47440,7 +47440,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -47461,7 +47461,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -47482,7 +47482,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -47503,7 +47503,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -54766,7 +54766,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -54801,7 +54801,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -54836,7 +54836,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -54871,7 +54871,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -54906,7 +54906,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -54941,7 +54941,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -54976,7 +54976,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -55011,7 +55011,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -55046,7 +55046,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -58767,7 +58767,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -58802,7 +58802,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -58837,7 +58837,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -58872,7 +58872,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -58907,7 +58907,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -58942,7 +58942,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -58977,7 +58977,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -59012,7 +59012,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -59047,7 +59047,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -61252,7 +61252,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rqf |BIN:0000000000000001 - |vpiDecompile:16'b0000_0000_0000_0001 + |vpiDecompile:16'b0000000000000001 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_ucf), line:4979:4, endln:4979:58 @@ -61260,7 +61260,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_ucf |BIN:0000000000000010 - |vpiDecompile:16'b0000_0000_0000_0010 + |vpiDecompile:16'b0000000000000010 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_nerf), line:4980:4, endln:4980:58 @@ -61268,7 +61268,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_nerf |BIN:0000000000000100 - |vpiDecompile:16'b0000_0000_0000_0100 + |vpiDecompile:16'b0000000000000100 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_nwbf), line:4981:4, endln:4981:58 @@ -61276,7 +61276,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_nwbf |BIN:0000000000001000 - |vpiDecompile:16'b0000_0000_0000_1000 + |vpiDecompile:16'b0000000000001000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_pf), line:4982:4, endln:4982:58 @@ -61284,7 +61284,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_pf |BIN:0000000000010000 - |vpiDecompile:16'b0000_0000_0001_0000 + |vpiDecompile:16'b0000000000010000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_sf), line:4983:4, endln:4983:58 @@ -61292,7 +61292,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_sf |BIN:0000000000100000 - |vpiDecompile:16'b0000_0000_0010_0000 + |vpiDecompile:16'b0000000000100000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_csf), line:4984:4, endln:4984:58 @@ -61300,7 +61300,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_csf |BIN:0000000001000000 - |vpiDecompile:16'b0000_0000_0100_0000 + |vpiDecompile:16'b0000000001000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cef), line:4985:4, endln:4985:58 @@ -61308,7 +61308,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cef |BIN:0000000010000000 - |vpiDecompile:16'b0000_0000_1000_0000 + |vpiDecompile:16'b0000000010000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cmf), line:4986:4, endln:4986:58 @@ -61316,7 +61316,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cmf |BIN:0000000100000000 - |vpiDecompile:16'b0000_0001_0000_0000 + |vpiDecompile:16'b0000000100000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cof), line:4987:4, endln:4987:58 @@ -61324,7 +61324,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cof |BIN:0000001000000000 - |vpiDecompile:16'b0000_0010_0000_0000 + |vpiDecompile:16'b0000001000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_cff), line:4988:4, endln:4988:58 @@ -61332,7 +61332,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_cff |BIN:0000010000000000 - |vpiDecompile:16'b0000_0100_0000_0000 + |vpiDecompile:16'b0000010000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_rf), line:4989:4, endln:4989:58 @@ -61340,7 +61340,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rf |BIN:0000100000000000 - |vpiDecompile:16'b0000_1000_0000_0000 + |vpiDecompile:16'b0000100000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_uf), line:4990:4, endln:4990:58 @@ -61348,7 +61348,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_uf |BIN:0001000000000000 - |vpiDecompile:16'b0001_0000_0000_0000 + |vpiDecompile:16'b0001000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_arf), line:4991:4, endln:4991:58 @@ -61356,7 +61356,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_arf |BIN:0010000000000000 - |vpiDecompile:16'b0010_0000_0000_0000 + |vpiDecompile:16'b0010000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_anrf), line:4992:4, endln:4992:58 @@ -61364,7 +61364,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_anrf |BIN:0100000000000000 - |vpiDecompile:16'b0100_0000_0000_0000 + |vpiDecompile:16'b0100000000000000 |vpiSize:16 |vpiEnumConst: \_enum_const: (e_flag_rcf), line:4993:4, endln:4993:58 @@ -61372,7 +61372,7 @@ design: (work@testbench) \_enum_typespec: (bp_me_pkg::bp_cce_inst_flag_onehot_e), line:4977:1, endln:4994:29 |vpiName:e_flag_rcf |BIN:1000000000000000 - |vpiDecompile:16'b1000_0000_0000_0000 + |vpiDecompile:16'b1000000000000000 |vpiSize:16 |vpiTypedef: \_struct_typespec: (bp_me_pkg::bp_cce_inst_itype_s), line:5277:9, endln:5286:2 @@ -66864,7 +66864,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -66899,7 +66899,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -66934,7 +66934,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -66969,7 +66969,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -67004,7 +67004,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -67039,7 +67039,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -67074,7 +67074,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -67109,7 +67109,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -67144,7 +67144,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -82707,7 +82707,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -82742,7 +82742,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -82777,7 +82777,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -82812,7 +82812,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -82847,7 +82847,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -82882,7 +82882,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -82917,7 +82917,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -82952,7 +82952,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -82987,7 +82987,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -88386,7 +88386,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -88421,7 +88421,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -88456,7 +88456,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -88491,7 +88491,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -88526,7 +88526,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -88561,7 +88561,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -88596,7 +88596,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -88631,7 +88631,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -88666,7 +88666,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -89882,7 +89882,7 @@ design: (work@testbench) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -89917,7 +89917,7 @@ design: (work@testbench) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -89952,7 +89952,7 @@ design: (work@testbench) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -89987,7 +89987,7 @@ design: (work@testbench) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -90022,7 +90022,7 @@ design: (work@testbench) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -90057,7 +90057,7 @@ design: (work@testbench) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -90092,7 +90092,7 @@ design: (work@testbench) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -90127,7 +90127,7 @@ design: (work@testbench) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -90162,7 +90162,7 @@ design: (work@testbench) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -95466,7 +95466,7 @@ design: (work@testbench) \_constant: , line:6429:51, endln:6429:68 |vpiParent: \_param_assign: , line:6429:36, endln:6429:68 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: diff --git a/tests/BlackParrotParam/BlackParrotParam.log b/tests/BlackParrotParam/BlackParrotParam.log index ac1fc80f64..a0b633586b 100644 --- a/tests/BlackParrotParam/BlackParrotParam.log +++ b/tests/BlackParrotParam/BlackParrotParam.log @@ -9613,7 +9613,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -9634,7 +9634,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -9655,7 +9655,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -9676,7 +9676,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -9697,7 +9697,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -9718,7 +9718,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -9739,7 +9739,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -9760,7 +9760,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -9781,7 +9781,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -20885,7 +20885,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -20920,7 +20920,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -20955,7 +20955,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -20990,7 +20990,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -21025,7 +21025,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -21060,7 +21060,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -21095,7 +21095,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -21130,7 +21130,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -21165,7 +21165,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -28965,7 +28965,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -28986,7 +28986,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -29007,7 +29007,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -29028,7 +29028,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -29049,7 +29049,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -29070,7 +29070,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -29091,7 +29091,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -29112,7 +29112,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -29133,7 +29133,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -36396,7 +36396,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -36431,7 +36431,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -36466,7 +36466,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -36501,7 +36501,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -36536,7 +36536,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -36571,7 +36571,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -36606,7 +36606,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -36641,7 +36641,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -36676,7 +36676,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -42486,7 +42486,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -42521,7 +42521,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -42556,7 +42556,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -42591,7 +42591,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -42626,7 +42626,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -42661,7 +42661,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -42696,7 +42696,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -42731,7 +42731,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -42766,7 +42766,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: @@ -48865,7 +48865,7 @@ design: (work@bp_be_ptw) \_constant: , line:913:42, endln:913:55 |vpiParent: \_param_assign: , line:913:14, endln:913:55 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -48900,7 +48900,7 @@ design: (work@bp_be_ptw) \_constant: , line:915:42, endln:915:55 |vpiParent: \_param_assign: , line:915:14, endln:915:55 - |vpiDecompile:32'h01??_???? + |vpiDecompile:32'h01?????? |vpiSize:32 |HEX:01?????? |vpiTypespec: @@ -48935,7 +48935,7 @@ design: (work@bp_be_ptw) \_constant: , line:916:42, endln:916:55 |vpiParent: \_param_assign: , line:916:14, endln:916:55 - |vpiDecompile:32'h02??_???? + |vpiDecompile:32'h02?????? |vpiSize:32 |HEX:02?????? |vpiTypespec: @@ -48970,7 +48970,7 @@ design: (work@bp_be_ptw) \_constant: , line:917:42, endln:917:55 |vpiParent: \_param_assign: , line:917:14, endln:917:55 - |vpiDecompile:32'h03??_???? + |vpiDecompile:32'h03?????? |vpiSize:32 |HEX:03?????? |vpiTypespec: @@ -49005,7 +49005,7 @@ design: (work@bp_be_ptw) \_constant: , line:918:42, endln:918:55 |vpiParent: \_param_assign: , line:918:14, endln:918:55 - |vpiDecompile:32'h0c??_???? + |vpiDecompile:32'h0c?????? |vpiSize:32 |HEX:0c?????? |vpiTypespec: @@ -49040,7 +49040,7 @@ design: (work@bp_be_ptw) \_constant: , line:920:42, endln:920:55 |vpiParent: \_param_assign: , line:920:14, endln:920:55 - |vpiDecompile:32'h0200_0??? + |vpiDecompile:32'h02000??? |vpiSize:32 |HEX:02000??? |vpiTypespec: @@ -49075,7 +49075,7 @@ design: (work@bp_be_ptw) \_constant: , line:921:42, endln:921:55 |vpiParent: \_param_assign: , line:921:14, endln:921:55 - |vpiDecompile:32'h0200_4??? + |vpiDecompile:32'h02004??? |vpiSize:32 |HEX:02004??? |vpiTypespec: @@ -49110,7 +49110,7 @@ design: (work@bp_be_ptw) \_constant: , line:922:42, endln:922:55 |vpiParent: \_param_assign: , line:922:14, endln:922:55 - |vpiDecompile:32'h0200_bff8 + |vpiDecompile:32'h0200bff8 |vpiSize:32 |HEX:0200bff8 |vpiTypespec: @@ -49145,7 +49145,7 @@ design: (work@bp_be_ptw) \_constant: , line:923:42, endln:923:55 |vpiParent: \_param_assign: , line:923:14, endln:923:55 - |vpiDecompile:32'h0c00_0??? + |vpiDecompile:32'h0c000??? |vpiSize:32 |HEX:0c000??? |vpiTypespec: diff --git a/tests/ClogCast/ClogCast.log b/tests/ClogCast/ClogCast.log index 1bc2f0144f..8c952fc234 100644 --- a/tests/ClogCast/ClogCast.log +++ b/tests/ClogCast/ClogCast.log @@ -1642,115 +1642,115 @@ design: (work@debug_rom) |vpiOpType:33 |vpiOperand: \_constant: , line:13:6, endln:13:27 - |vpiDecompile:64'h00000000_7b200073 + |vpiDecompile:64'h000000007b200073 |vpiSize:64 |HEX:000000007b200073 |vpiConstType:5 |vpiOperand: \_constant: , line:14:6, endln:14:27 - |vpiDecompile:64'h7b302573_7b202473 + |vpiDecompile:64'h7b3025737b202473 |vpiSize:64 |HEX:7b3025737b202473 |vpiConstType:5 |vpiOperand: \_constant: , line:15:6, endln:15:27 - |vpiDecompile:64'h10852423_f1402473 + |vpiDecompile:64'h10852423f1402473 |vpiSize:64 |HEX:10852423f1402473 |vpiConstType:5 |vpiOperand: \_constant: , line:16:6, endln:16:27 - |vpiDecompile:64'ha85ff06f_7b302573 + |vpiDecompile:64'ha85ff06f7b302573 |vpiSize:64 |HEX:a85ff06f7b302573 |vpiConstType:5 |vpiOperand: \_constant: , line:17:6, endln:17:27 - |vpiDecompile:64'h7b202473_10052223 + |vpiDecompile:64'h7b20247310052223 |vpiSize:64 |HEX:7b20247310052223 |vpiConstType:5 |vpiOperand: \_constant: , line:18:6, endln:18:27 - |vpiDecompile:64'h00100073_7b302573 + |vpiDecompile:64'h001000737b302573 |vpiSize:64 |HEX:001000737b302573 |vpiConstType:5 |vpiOperand: \_constant: , line:19:6, endln:19:27 - |vpiDecompile:64'h7b202473_10052623 + |vpiDecompile:64'h7b20247310052623 |vpiSize:64 |HEX:7b20247310052623 |vpiConstType:5 |vpiOperand: \_constant: , line:20:6, endln:20:27 - |vpiDecompile:64'h00c51513_00c55513 + |vpiDecompile:64'h00c5151300c55513 |vpiSize:64 |HEX:00c5151300c55513 |vpiConstType:5 |vpiOperand: \_constant: , line:21:6, endln:21:27 - |vpiDecompile:64'h00000517_fd5ff06f + |vpiDecompile:64'h00000517fd5ff06f |vpiSize:64 |HEX:00000517fd5ff06f |vpiConstType:5 |vpiOperand: \_constant: , line:22:6, endln:22:27 - |vpiDecompile:64'hfa041ce3_00247413 + |vpiDecompile:64'hfa041ce300247413 |vpiSize:64 |HEX:fa041ce300247413 |vpiConstType:5 |vpiOperand: \_constant: , line:23:6, endln:23:27 - |vpiDecompile:64'h40044403_00a40433 + |vpiDecompile:64'h4004440300a40433 |vpiSize:64 |HEX:4004440300a40433 |vpiConstType:5 |vpiOperand: \_constant: , line:24:6, endln:24:27 - |vpiDecompile:64'hf1402473_02041c63 + |vpiDecompile:64'hf140247302041c63 |vpiSize:64 |HEX:f140247302041c63 |vpiConstType:5 |vpiOperand: \_constant: , line:25:6, endln:25:27 - |vpiDecompile:64'h00147413_40044403 + |vpiDecompile:64'h0014741340044403 |vpiSize:64 |HEX:0014741340044403 |vpiConstType:5 |vpiOperand: \_constant: , line:26:6, endln:26:27 - |vpiDecompile:64'h00a40433_10852023 + |vpiDecompile:64'h00a4043310852023 |vpiSize:64 |HEX:00a4043310852023 |vpiConstType:5 |vpiOperand: \_constant: , line:27:6, endln:27:27 - |vpiDecompile:64'hf1402473_00c51513 + |vpiDecompile:64'hf140247300c51513 |vpiSize:64 |HEX:f140247300c51513 |vpiConstType:5 |vpiOperand: \_constant: , line:28:6, endln:28:27 - |vpiDecompile:64'h00c55513_00000517 + |vpiDecompile:64'h00c5551300000517 |vpiSize:64 |HEX:00c5551300000517 |vpiConstType:5 |vpiOperand: \_constant: , line:29:6, endln:29:27 - |vpiDecompile:64'h7b351073_7b241073 + |vpiDecompile:64'h7b3510737b241073 |vpiSize:64 |HEX:7b3510737b241073 |vpiConstType:5 |vpiOperand: \_constant: , line:30:6, endln:30:27 - |vpiDecompile:64'h0ff0000f_04c0006f + |vpiDecompile:64'h0ff0000f04c0006f |vpiSize:64 |HEX:0ff0000f04c0006f |vpiConstType:5 |vpiOperand: \_constant: , line:31:6, endln:31:27 - |vpiDecompile:64'h07c0006f_00c0006f + |vpiDecompile:64'h07c0006f00c0006f |vpiSize:64 |HEX:07c0006f00c0006f |vpiConstType:5 diff --git a/tests/FuncDef2/FuncDef2.log b/tests/FuncDef2/FuncDef2.log index afe45f5966..d3e9e7cac7 100644 --- a/tests/FuncDef2/FuncDef2.log +++ b/tests/FuncDef2/FuncDef2.log @@ -4256,7 +4256,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_INVALID_PACKET |BIN:00000000 - |vpiDecompile:'b000_00000 + |vpiDecompile:'b00000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_READ), line:176:5, endln:176:42 @@ -4264,7 +4264,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_READ |BIN:00100000 - |vpiDecompile:'b001_00000 + |vpiDecompile:'b00100000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_WRITE), line:177:5, endln:177:42 @@ -4272,7 +4272,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_WRITE |BIN:01100000 - |vpiDecompile:'b011_00000 + |vpiDecompile:'b01100000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_POSTED_WRITE), line:178:5, endln:178:42 @@ -4280,7 +4280,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_POSTED_WRITE |BIN:01000000 - |vpiDecompile:'b010_00000 + |vpiDecompile:'b01000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_RESPONSE), line:179:5, endln:179:42 @@ -4288,7 +4288,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_RESPONSE |BIN:10000000 - |vpiDecompile:'b100_00000 + |vpiDecompile:'b10000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_RESPONSE_WITH_DATA), line:180:5, endln:180:42 @@ -4296,7 +4296,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_RESPONSE_WITH_DATA |BIN:11000000 - |vpiDecompile:'b110_00000 + |vpiDecompile:'b11000000 |vpiSize:-1 |vpiTypedef: \_enum_typespec: (tnoc_pkg::tnoc_port_type), line:388:5, endln:388:19 @@ -10059,7 +10059,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_INVALID_PACKET |BIN:00000000 - |vpiDecompile:'b000_00000 + |vpiDecompile:'b00000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_READ), line:176:5, endln:176:42 @@ -10067,7 +10067,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_READ |BIN:00100000 - |vpiDecompile:'b001_00000 + |vpiDecompile:'b00100000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_WRITE), line:177:5, endln:177:42 @@ -10075,7 +10075,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_WRITE |BIN:01100000 - |vpiDecompile:'b011_00000 + |vpiDecompile:'b01100000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_POSTED_WRITE), line:178:5, endln:178:42 @@ -10083,7 +10083,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_POSTED_WRITE |BIN:01000000 - |vpiDecompile:'b010_00000 + |vpiDecompile:'b01000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_RESPONSE), line:179:5, endln:179:42 @@ -10091,7 +10091,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_RESPONSE |BIN:10000000 - |vpiDecompile:'b100_00000 + |vpiDecompile:'b10000000 |vpiSize:-1 |vpiEnumConst: \_enum_const: (TNOC_RESPONSE_WITH_DATA), line:180:5, endln:180:42 @@ -10099,7 +10099,7 @@ design: (work@tnoc_vc_splitter) \_enum_typespec: (tnoc_pkg::tnoc_packet_type), line:223:62, endln:223:78 |vpiName:TNOC_RESPONSE_WITH_DATA |BIN:11000000 - |vpiDecompile:'b110_00000 + |vpiDecompile:'b11000000 |vpiSize:-1 |vpiTypedef: \_enum_typespec: (tnoc_pkg::tnoc_port_type), line:388:5, endln:388:19 diff --git a/tests/ImplicitParam/ImplicitParam.log b/tests/ImplicitParam/ImplicitParam.log index 3e400cb5e2..6efd66c35d 100644 --- a/tests/ImplicitParam/ImplicitParam.log +++ b/tests/ImplicitParam/ImplicitParam.log @@ -177,7 +177,7 @@ design: (work@top) \_constant: , line:3:33, endln:3:46 |vpiParent: \_param_assign: , line:3:29, endln:3:46 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: diff --git a/tests/LargeHexCast/LargeHexCast.log b/tests/LargeHexCast/LargeHexCast.log index 6273f70bf2..0f3e45c8dc 100644 --- a/tests/LargeHexCast/LargeHexCast.log +++ b/tests/LargeHexCast/LargeHexCast.log @@ -511,7 +511,7 @@ design: (work@kmac_entropy) \_constant: , line:7:19, endln:7:52 |vpiParent: \_operation: , line:7:15, endln:7:53 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -539,7 +539,7 @@ design: (work@kmac_entropy) \_operation: , line:10:31, endln:12:5 |vpiPattern: \_constant: , line:11:15, endln:11:48 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -678,7 +678,7 @@ design: (work@kmac_entropy) \_constant: , line:7:19, endln:7:52 |vpiParent: \_operation: , line:7:15, endln:7:53 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -706,7 +706,7 @@ design: (work@kmac_entropy) \_operation: , line:10:31, endln:12:5 |vpiPattern: \_constant: , line:11:15, endln:11:48 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -1247,7 +1247,7 @@ design: (work@kmac_entropy) \_constant: , line:7:19, endln:7:52 |vpiParent: \_operation: , line:7:15, endln:7:53 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -1289,7 +1289,7 @@ design: (work@kmac_entropy) \_constant: , line:11:15, endln:11:48 |vpiParent: \_tagged_pattern: , line:11:15, endln:11:48 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -1384,7 +1384,7 @@ design: (work@kmac_entropy) \_constant: , line:7:19, endln:7:52 |vpiParent: \_operation: , line:7:15, endln:7:53 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 @@ -1410,7 +1410,7 @@ design: (work@kmac_entropy) \_constant: , line:11:15, endln:11:48 |vpiParent: \_operation: , line:10:31, endln:12:5 - |vpiDecompile:96'h4c52_5443_5f4d_4f52_4001_0001 + |vpiDecompile:96'h4c5254435f4d4f5240010001 |vpiSize:96 |HEX:4c5254435f4d4f5240010001 |vpiConstType:5 diff --git a/tests/NegParam/NegParam.log b/tests/NegParam/NegParam.log index 6f9fae4b67..4125871537 100644 --- a/tests/NegParam/NegParam.log +++ b/tests/NegParam/NegParam.log @@ -790,7 +790,7 @@ design: (work@top) \_constant: , line:2:41, endln:2:57 |vpiParent: \_param_assign: , line:2:13, endln:2:57 - |vpiDecompile:40'h00_8000_0000 + |vpiDecompile:40'h0080000000 |vpiSize:40 |HEX:0080000000 |vpiTypespec: @@ -820,7 +820,7 @@ design: (work@top) \_constant: , line:2:41, endln:2:57 |vpiParent: \_param_assign: , line:2:13, endln:2:57 - |vpiDecompile:40'h00_8000_0000 + |vpiDecompile:40'h0080000000 |vpiSize:40 |HEX:0080000000 |vpiTypespec: diff --git a/tests/PartSelectRange/PartSelectRange.log b/tests/PartSelectRange/PartSelectRange.log index 5a69ed9e17..a1e42bc01a 100644 --- a/tests/PartSelectRange/PartSelectRange.log +++ b/tests/PartSelectRange/PartSelectRange.log @@ -344,7 +344,7 @@ design: (work@test) \_constant: , line:3:25, endln:3:33 |vpiParent: \_param_assign: , line:3:19, endln:3:33 - |vpiDecompile:4'b01_00 + |vpiDecompile:4'b0100 |vpiSize:4 |BIN:0100 |vpiTypespec: diff --git a/tests/Rom/Rom.log b/tests/Rom/Rom.log index 7181cb9229..3a72dccc84 100644 --- a/tests/Rom/Rom.log +++ b/tests/Rom/Rom.log @@ -1164,13 +1164,13 @@ design: (work@top) |vpiOpType:33 |vpiOperand: \_constant: , line:4:8, endln:4:29 - |vpiDecompile:64'h00000000_7b200073 + |vpiDecompile:64'h000000007b200073 |vpiSize:64 |HEX:000000007b200073 |vpiConstType:5 |vpiOperand: \_constant: , line:5:8, endln:5:29 - |vpiDecompile:64'h7b302573_7b202473 + |vpiDecompile:64'h7b3025737b202473 |vpiSize:64 |HEX:7b3025737b202473 |vpiConstType:5 diff --git a/tests/UndersVal/UndersVal.log b/tests/UndersVal/UndersVal.log index 7742786d9b..b5ca809ece 100644 --- a/tests/UndersVal/UndersVal.log +++ b/tests/UndersVal/UndersVal.log @@ -899,7 +899,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:NoError |HEX:00000000 - |vpiDecompile:32'h0000_0000 + |vpiDecompile:32'h00000000 |vpiSize:32 |vpiEnumConst: \_enum_const: (SwPushMsgWhenShaDisabled), line:5:5, endln:5:47 @@ -907,7 +907,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:SwPushMsgWhenShaDisabled |HEX:00000001 - |vpiDecompile:32'h0000_0001 + |vpiDecompile:32'h00000001 |vpiSize:32 |vpiEnumConst: \_enum_const: (SwHashStartWhenShaDisabled), line:6:5, endln:6:47 @@ -915,7 +915,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:SwHashStartWhenShaDisabled |HEX:00000002 - |vpiDecompile:32'h0000_0002 + |vpiDecompile:32'h00000002 |vpiSize:32 |vpiEnumConst: \_enum_const: (SwUpdateSecretKeyInProcess), line:7:5, endln:7:47 @@ -923,7 +923,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:SwUpdateSecretKeyInProcess |HEX:00000003 - |vpiDecompile:32'h0000_0003 + |vpiDecompile:32'h00000003 |vpiSize:32 |vpiEnumConst: \_enum_const: (SwHashStartWhenActive), line:8:5, endln:8:47 @@ -931,7 +931,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:SwHashStartWhenActive |HEX:00000004 - |vpiDecompile:32'h0000_0004 + |vpiDecompile:32'h00000004 |vpiSize:32 |vpiEnumConst: \_enum_const: (SwPushMsgWhenDisallowed), line:9:5, endln:9:47 @@ -939,7 +939,7 @@ design: (work@dut) \_enum_typespec: (err_code_e), line:3:3, endln:10:16 |vpiName:SwPushMsgWhenDisallowed |HEX:00000005 - |vpiDecompile:32'h0000_0005 + |vpiDecompile:32'h00000005 |vpiSize:32 |vpiDefName:work@dut |uhdmtopModules: @@ -1194,5 +1194,5 @@ design: (work@dut) ============================== Begin RoundTrip Results ============================== [roundtrip]: ${SURELOG_DIR}/tests/UndersVal/builtin.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/builtin_000.sv | 0 | 0 | -[roundtrip]: ${SURELOG_DIR}/tests/UndersVal/dut.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/dut_000.sv | 0 | 12 | +[roundtrip]: ${SURELOG_DIR}/tests/UndersVal/dut.sv | ${SURELOG_DIR}/build/regression/UndersVal/roundtrip/dut_000.sv | 6 | 12 | ============================== End RoundTrip Results ============================== diff --git a/tests/UnionCast/UnionCast.log b/tests/UnionCast/UnionCast.log index d5ab3500bf..4cdfdacff7 100644 --- a/tests/UnionCast/UnionCast.log +++ b/tests/UnionCast/UnionCast.log @@ -2350,7 +2350,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -2358,7 +2358,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -2366,7 +2366,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -2374,7 +2374,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -2382,7 +2382,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -2390,7 +2390,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -2398,7 +2398,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -2406,7 +2406,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -2414,7 +2414,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -2422,7 +2422,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -2430,7 +2430,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -2438,7 +2438,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -2446,7 +2446,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -2454,7 +2454,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -2462,7 +2462,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -2470,7 +2470,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -2478,7 +2478,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -2486,7 +2486,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -2494,7 +2494,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -2502,7 +2502,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -2510,7 +2510,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -2518,7 +2518,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -2526,7 +2526,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -2534,7 +2534,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -2542,7 +2542,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -2550,7 +2550,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -2558,7 +2558,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -2566,7 +2566,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -2574,7 +2574,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -2582,7 +2582,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -2590,7 +2590,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -2598,7 +2598,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 |vpiTypedef: \_struct_typespec: (riscv_isa_pkg::op32_opcode_t), line:51:9, endln:54:2 @@ -3231,7 +3231,7 @@ design: (work@r5p_lsu) \_constant: , line:31:3, endln:31:25 |vpiParent: \_case_item: , line:31:3, endln:31:38 - |vpiDecompile:16'b????_????_??????11 + |vpiDecompile:16'b??????????????11 |vpiSize:16 |BIN:??????????????11 |vpiConstType:3 @@ -3954,7 +3954,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -3962,7 +3962,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -3970,7 +3970,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -3978,7 +3978,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -3986,7 +3986,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -3994,7 +3994,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -4002,7 +4002,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -4010,7 +4010,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -4018,7 +4018,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -4026,7 +4026,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -4034,7 +4034,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -4042,7 +4042,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -4050,7 +4050,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -4058,7 +4058,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -4066,7 +4066,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -4074,7 +4074,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -4082,7 +4082,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -4090,7 +4090,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -4098,7 +4098,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -4106,7 +4106,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -4114,7 +4114,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -4122,7 +4122,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -4130,7 +4130,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -4138,7 +4138,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -4146,7 +4146,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -4154,7 +4154,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -4162,7 +4162,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -4170,7 +4170,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -4178,7 +4178,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -4186,7 +4186,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -4194,7 +4194,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -4202,7 +4202,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 |vpiTypedef: \_struct_typespec: (riscv_isa_pkg::op32_opcode_t), line:51:9, endln:54:2 @@ -4834,7 +4834,7 @@ design: (work@r5p_lsu) \_constant: , line:31:3, endln:31:25 |vpiParent: \_case_item: , line:31:3, endln:31:38 - |vpiDecompile:16'b????_????_??????11 + |vpiDecompile:16'b??????????????11 |vpiSize:16 |BIN:??????????????11 |vpiConstType:3 @@ -9083,7 +9083,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -9091,7 +9091,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -9099,7 +9099,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -9107,7 +9107,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -9115,7 +9115,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -9123,7 +9123,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -9131,7 +9131,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -9139,7 +9139,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -9147,7 +9147,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -9155,7 +9155,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -9163,7 +9163,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -9171,7 +9171,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -9179,7 +9179,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -9187,7 +9187,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -9195,7 +9195,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -9203,7 +9203,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -9211,7 +9211,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -9219,7 +9219,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -9227,7 +9227,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -9235,7 +9235,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -9243,7 +9243,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -9251,7 +9251,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -9259,7 +9259,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -9267,7 +9267,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -9275,7 +9275,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -9283,7 +9283,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -9291,7 +9291,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -9299,7 +9299,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -9307,7 +9307,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -9315,7 +9315,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -9323,7 +9323,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -9331,7 +9331,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -9711,7 +9711,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -9719,7 +9719,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -9727,7 +9727,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -9735,7 +9735,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -9743,7 +9743,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -9751,7 +9751,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -9759,7 +9759,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -9767,7 +9767,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -9775,7 +9775,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -9783,7 +9783,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -9791,7 +9791,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -9799,7 +9799,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -9807,7 +9807,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -9815,7 +9815,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -9823,7 +9823,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -9831,7 +9831,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -9839,7 +9839,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -9847,7 +9847,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -9855,7 +9855,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -9863,7 +9863,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -9871,7 +9871,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -9879,7 +9879,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -9887,7 +9887,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -9895,7 +9895,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -9903,7 +9903,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -9911,7 +9911,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -9919,7 +9919,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -9927,7 +9927,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -9935,7 +9935,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -9943,7 +9943,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -9951,7 +9951,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -9959,7 +9959,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -10297,7 +10297,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -10305,7 +10305,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -10313,7 +10313,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -10321,7 +10321,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -10329,7 +10329,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -10337,7 +10337,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -10345,7 +10345,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -10353,7 +10353,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -10361,7 +10361,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -10369,7 +10369,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -10377,7 +10377,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -10385,7 +10385,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -10393,7 +10393,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -10401,7 +10401,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -10409,7 +10409,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -10417,7 +10417,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -10425,7 +10425,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -10433,7 +10433,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -10441,7 +10441,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -10449,7 +10449,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -10457,7 +10457,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -10465,7 +10465,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -10473,7 +10473,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -10481,7 +10481,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -10489,7 +10489,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -10497,7 +10497,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -10505,7 +10505,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -10513,7 +10513,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -10521,7 +10521,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -10529,7 +10529,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -10537,7 +10537,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -10545,7 +10545,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -10925,7 +10925,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -10933,7 +10933,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -10941,7 +10941,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -10949,7 +10949,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -10957,7 +10957,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -10965,7 +10965,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -10973,7 +10973,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -10981,7 +10981,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -10989,7 +10989,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -10997,7 +10997,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -11005,7 +11005,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -11013,7 +11013,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -11021,7 +11021,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -11029,7 +11029,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -11037,7 +11037,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -11045,7 +11045,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -11053,7 +11053,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -11061,7 +11061,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -11069,7 +11069,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -11077,7 +11077,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -11085,7 +11085,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -11093,7 +11093,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -11101,7 +11101,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -11109,7 +11109,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -11117,7 +11117,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -11125,7 +11125,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -11133,7 +11133,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -11141,7 +11141,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -11149,7 +11149,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -11157,7 +11157,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -11165,7 +11165,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -11173,7 +11173,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -11637,7 +11637,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -11645,7 +11645,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -11653,7 +11653,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -11661,7 +11661,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -11669,7 +11669,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -11677,7 +11677,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -11685,7 +11685,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -11693,7 +11693,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -11701,7 +11701,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -11709,7 +11709,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -11717,7 +11717,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -11725,7 +11725,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -11733,7 +11733,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -11741,7 +11741,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -11749,7 +11749,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -11757,7 +11757,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -11765,7 +11765,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -11773,7 +11773,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -11781,7 +11781,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -11789,7 +11789,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -11797,7 +11797,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -11805,7 +11805,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -11813,7 +11813,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -11821,7 +11821,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -11829,7 +11829,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -11837,7 +11837,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -11845,7 +11845,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -11853,7 +11853,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -11861,7 +11861,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -11869,7 +11869,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -11877,7 +11877,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -11885,7 +11885,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -12105,7 +12105,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -12113,7 +12113,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -12121,7 +12121,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -12129,7 +12129,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -12137,7 +12137,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -12145,7 +12145,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -12153,7 +12153,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -12161,7 +12161,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -12169,7 +12169,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -12177,7 +12177,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -12185,7 +12185,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -12193,7 +12193,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -12201,7 +12201,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -12209,7 +12209,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -12217,7 +12217,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -12225,7 +12225,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -12233,7 +12233,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -12241,7 +12241,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -12249,7 +12249,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -12257,7 +12257,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -12265,7 +12265,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -12273,7 +12273,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -12281,7 +12281,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -12289,7 +12289,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -12297,7 +12297,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -12305,7 +12305,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -12313,7 +12313,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -12321,7 +12321,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -12329,7 +12329,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -12337,7 +12337,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -12345,7 +12345,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -12353,7 +12353,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -12699,7 +12699,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD |BIN:00000 - |vpiDecompile:5'b00_000 + |vpiDecompile:5'b00000 |vpiSize:5 |vpiEnumConst: \_enum_const: (LOAD_FP), line:42:24, endln:42:44 @@ -12707,7 +12707,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LOAD_FP |BIN:00001 - |vpiDecompile:5'b00_001 + |vpiDecompile:5'b00001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTON_0), line:42:47, endln:42:69 @@ -12715,7 +12715,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTON_0 |BIN:00010 - |vpiDecompile:5'b00_010 + |vpiDecompile:5'b00010 |vpiSize:5 |vpiEnumConst: \_enum_const: (MISC_MEM), line:42:72, endln:42:92 @@ -12723,7 +12723,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MISC_MEM |BIN:00011 - |vpiDecompile:5'b00_011 + |vpiDecompile:5'b00011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM), line:42:95, endln:42:113 @@ -12731,7 +12731,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM |BIN:00100 - |vpiDecompile:5'b00_100 + |vpiDecompile:5'b00100 |vpiSize:5 |vpiEnumConst: \_enum_const: (AUIPC), line:42:116, endln:42:138 @@ -12739,7 +12739,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AUIPC |BIN:00101 - |vpiDecompile:5'b00_101 + |vpiDecompile:5'b00101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_IMM_32), line:42:141, endln:42:162 @@ -12747,7 +12747,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_IMM_32 |BIN:00110 - |vpiDecompile:5'b00_110 + |vpiDecompile:5'b00110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_1), line:42:165, endln:42:184 @@ -12755,7 +12755,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_1 |BIN:00111 - |vpiDecompile:5'b00_111 + |vpiDecompile:5'b00111 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE), line:43:3, endln:43:21 @@ -12763,7 +12763,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE |BIN:01000 - |vpiDecompile:5'b01_000 + |vpiDecompile:5'b01000 |vpiSize:5 |vpiEnumConst: \_enum_const: (STORE_FP), line:43:24, endln:43:44 @@ -12771,7 +12771,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:STORE_FP |BIN:01001 - |vpiDecompile:5'b01_001 + |vpiDecompile:5'b01001 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_1), line:43:47, endln:43:69 @@ -12779,7 +12779,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_1 |BIN:01010 - |vpiDecompile:5'b01_010 + |vpiDecompile:5'b01010 |vpiSize:5 |vpiEnumConst: \_enum_const: (AMO), line:43:72, endln:43:92 @@ -12787,7 +12787,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:AMO |BIN:01011 - |vpiDecompile:5'b01_011 + |vpiDecompile:5'b01011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP), line:43:95, endln:43:113 @@ -12795,7 +12795,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP |BIN:01100 - |vpiDecompile:5'b01_100 + |vpiDecompile:5'b01100 |vpiSize:5 |vpiEnumConst: \_enum_const: (LUI), line:43:116, endln:43:138 @@ -12803,7 +12803,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:LUI |BIN:01101 - |vpiDecompile:5'b01_101 + |vpiDecompile:5'b01101 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_32), line:43:141, endln:43:162 @@ -12811,7 +12811,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_32 |BIN:01110 - |vpiDecompile:5'b01_110 + |vpiDecompile:5'b01110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_64), line:43:165, endln:43:184 @@ -12819,7 +12819,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_64 |BIN:01111 - |vpiDecompile:5'b01_111 + |vpiDecompile:5'b01111 |vpiSize:5 |vpiEnumConst: \_enum_const: (MADD), line:44:3, endln:44:21 @@ -12827,7 +12827,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MADD |BIN:10000 - |vpiDecompile:5'b10_000 + |vpiDecompile:5'b10000 |vpiSize:5 |vpiEnumConst: \_enum_const: (MSUB), line:44:24, endln:44:44 @@ -12835,7 +12835,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:MSUB |BIN:10001 - |vpiDecompile:5'b10_001 + |vpiDecompile:5'b10001 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMSUB), line:44:47, endln:44:69 @@ -12843,7 +12843,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMSUB |BIN:10010 - |vpiDecompile:5'b10_010 + |vpiDecompile:5'b10010 |vpiSize:5 |vpiEnumConst: \_enum_const: (NMADD), line:44:72, endln:44:92 @@ -12851,7 +12851,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:NMADD |BIN:10011 - |vpiDecompile:5'b10_011 + |vpiDecompile:5'b10011 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_FP), line:44:95, endln:44:113 @@ -12859,7 +12859,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_FP |BIN:10100 - |vpiDecompile:5'b10_100 + |vpiDecompile:5'b10100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_6), line:44:116, endln:44:138 @@ -12867,7 +12867,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_6 |BIN:10101 - |vpiDecompile:5'b10_101 + |vpiDecompile:5'b10101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_2), line:44:141, endln:44:162 @@ -12875,7 +12875,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_2 |BIN:10110 - |vpiDecompile:5'b10_110 + |vpiDecompile:5'b10110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_48_2), line:44:165, endln:44:184 @@ -12883,7 +12883,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_48_2 |BIN:10111 - |vpiDecompile:5'b10_111 + |vpiDecompile:5'b10111 |vpiSize:5 |vpiEnumConst: \_enum_const: (BRANCH), line:45:3, endln:45:21 @@ -12891,7 +12891,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:BRANCH |BIN:11000 - |vpiDecompile:5'b11_000 + |vpiDecompile:5'b11000 |vpiSize:5 |vpiEnumConst: \_enum_const: (JALR), line:45:24, endln:45:44 @@ -12899,7 +12899,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JALR |BIN:11001 - |vpiDecompile:5'b11_001 + |vpiDecompile:5'b11001 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_A), line:45:47, endln:45:69 @@ -12907,7 +12907,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_A |BIN:11010 - |vpiDecompile:5'b11_010 + |vpiDecompile:5'b11010 |vpiSize:5 |vpiEnumConst: \_enum_const: (JAL), line:45:72, endln:45:92 @@ -12915,7 +12915,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:JAL |BIN:11011 - |vpiDecompile:5'b11_011 + |vpiDecompile:5'b11011 |vpiSize:5 |vpiEnumConst: \_enum_const: (SYSTEM), line:45:95, endln:45:113 @@ -12923,7 +12923,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:SYSTEM |BIN:11100 - |vpiDecompile:5'b11_100 + |vpiDecompile:5'b11100 |vpiSize:5 |vpiEnumConst: \_enum_const: (RESERVED_D), line:45:116, endln:45:138 @@ -12931,7 +12931,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:RESERVED_D |BIN:11101 - |vpiDecompile:5'b11_101 + |vpiDecompile:5'b11101 |vpiSize:5 |vpiEnumConst: \_enum_const: (CUSTOM_3), line:45:141, endln:45:162 @@ -12939,7 +12939,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:CUSTOM_3 |BIN:11110 - |vpiDecompile:5'b11_110 + |vpiDecompile:5'b11110 |vpiSize:5 |vpiEnumConst: \_enum_const: (OP_80), line:45:165, endln:45:184 @@ -12947,7 +12947,7 @@ design: (work@r5p_lsu) \_enum_typespec: (riscv_isa_pkg::op32_op62_et), line:41:1, endln:46:16 |vpiName:OP_80 |BIN:11111 - |vpiDecompile:5'b11_111 + |vpiDecompile:5'b11111 |vpiSize:5 \_logic_typespec: , line:41:14, endln:41:25 |vpiParent: @@ -13007,5 +13007,5 @@ design: (work@r5p_lsu) [ NOTE] : 5 ============================== Begin RoundTrip Results ============================== -[roundtrip]: ${SURELOG_DIR}/tests/UnionCast/dut.sv | ${SURELOG_DIR}/build/regression/UnionCast/roundtrip/dut_000.sv | 39 | 166 | +[roundtrip]: ${SURELOG_DIR}/tests/UnionCast/dut.sv | ${SURELOG_DIR}/build/regression/UnionCast/roundtrip/dut_000.sv | 44 | 166 | ============================== End RoundTrip Results ============================== diff --git a/tests/UnsignedParam/UnsignedParam.log b/tests/UnsignedParam/UnsignedParam.log index 714f9dbf2f..113a8ec42b 100644 --- a/tests/UnsignedParam/UnsignedParam.log +++ b/tests/UnsignedParam/UnsignedParam.log @@ -309,7 +309,7 @@ design: (work@top) \_constant: , line:5:32, endln:5:45 |vpiParent: \_param_assign: , line:5:28, endln:5:45 - |vpiDecompile:32'h8000_0000 + |vpiDecompile:32'h80000000 |vpiSize:32 |HEX:80000000 |vpiTypespec: @@ -355,7 +355,7 @@ design: (work@top) \_constant: , line:7:33, endln:7:56 |vpiParent: \_param_assign: , line:7:28, endln:7:56 - |vpiDecompile:64'h8FFF_FFFF_FFFF_0000 + |vpiDecompile:64'h8FFFFFFFFFFF0000 |vpiSize:64 |HEX:8FFFFFFFFFFF0000 |vpiTypespec: @@ -387,7 +387,7 @@ design: (work@top) \_constant: , line:8:38, endln:8:61 |vpiParent: \_operation: , line:8:33, endln:8:61 - |vpiDecompile:64'h0000_0000_0000_FFFF + |vpiDecompile:64'h000000000000FFFF |vpiSize:64 |HEX:000000000000FFFF |vpiConstType:5 diff --git a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log index eda059b720..15466cdf02 100644 --- a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log +++ b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log @@ -64,18 +64,18 @@ Running: cd ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess; -- Configuring done -- Generating done -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess -[ 6%] Generating 11_ifu_bp_ctl.sv -[ 12%] Generating 10_lsu_bus_intf.sv +[ 6%] Generating 10_lsu_bus_intf.sv +[ 12%] Generating 11_ifu_bp_ctl.sv [ 18%] Generating 12_beh_lib.sv [ 25%] Generating 13_ifu_mem_ctl.sv -[ 31%] Generating 14_mem_lib.sv -[ 37%] Generating 15_exu.sv +[ 31%] Generating 15_exu.sv +[ 37%] Generating 14_mem_lib.sv [ 43%] Generating 16_dec_decode_ctl.sv [ 50%] Generating 1_lsu_stbuf.sv [ 56%] Generating 2_ahb_to_axi4.sv -[ 62%] Generating 4_dec_tlu_ctl.sv -[ 68%] Generating 5_lsu_bus_buffer.sv -[ 75%] Generating 3_rvjtag_tap.sv +[ 62%] Generating 3_rvjtag_tap.sv +[ 68%] Generating 4_dec_tlu_ctl.sv +[ 75%] Generating 5_lsu_bus_buffer.sv [ 81%] Generating 6_dbg.sv [ 87%] Generating 7_axi4_to_ahb.sv [ 93%] Generating 8_ifu_aln_ctl.sv