diff --git a/.github/workflows/non_vendored.yml b/.github/workflows/non_vendored.yml index e1dfaad3c1..39b2077896 100644 --- a/.github/workflows/non_vendored.yml +++ b/.github/workflows/non_vendored.yml @@ -58,7 +58,7 @@ jobs: cmake -B build -DCMAKE_BUILD_TYPE=Release -DCMAKE_CXX_STANDARD=17 -DCMAKE_POSITION_INDEPENDENT_CODE=ON -DJSON_BuildTests=OFF . && cmake --build build && sudo cmake --install build popd - git clone --depth 1 --branch v1.79 https://github.com/chipsalliance/UHDM.git + git clone --depth 1 --branch v1.80 https://github.com/chipsalliance/UHDM.git pushd UHDM cmake -B build -DCMAKE_BUILD_TYPE=Release -DBUILD_SHARED_LIBS=ON -DUHDM_USE_HOST_GTEST=ON -DUHDM_USE_HOST_CAPNP=ON . && cmake --build build && sudo cmake --install build popd diff --git a/.gitmodules b/.gitmodules index 0c36456336..18a99364cf 100644 --- a/.gitmodules +++ b/.gitmodules @@ -7,7 +7,7 @@ [submodule "third_party/UHDM"] path = third_party/UHDM url = https://github.com/chipsalliance/UHDM.git - branch = v1.79 + branch = v1.80 [submodule "third_party/antlr4"] path = third_party/antlr4 url = https://github.com/antlr/antlr4.git diff --git a/CMakeLists.txt b/CMakeLists.txt index fa509fbe66..f377c41f57 100644 --- a/CMakeLists.txt +++ b/CMakeLists.txt @@ -5,7 +5,7 @@ cmake_minimum_required(VERSION 3.20 FATAL_ERROR) # Version changes whenever some new features accumulated, or the # grammar or the cache format changes to make sure caches # are invalidated. -project(SURELOG VERSION 1.79) +project(SURELOG VERSION 1.80) # Detect build type, fallback to release and throw a warning if use didn't # specify any diff --git a/src/DesignCompile/UhdmWriter.cpp b/src/DesignCompile/UhdmWriter.cpp index 26b04ea716..7e70ce6b56 100644 --- a/src/DesignCompile/UhdmWriter.cpp +++ b/src/DesignCompile/UhdmWriter.cpp @@ -3273,6 +3273,27 @@ void UhdmWriter::lateBinding(Serializer& s, DesignComponent* mod, scope* m) { } } } + if (m->Typespecs()) { + for (auto n : *m->Typespecs()) { + if (n->UhdmType() == uhdmenum_typespec) { + enum_typespec* tps = any_cast(n); + if (tps && tps->Enum_consts()) { + for (auto c : *tps->Enum_consts()) { + if (c->VpiName() == name) { + ref->Actual_group(c); + break; + } + if (std::string(std::string(m->VpiName()) + + std::string("::") + std::string(name)) == + c->VpiName()) { + ref->Actual_group(c); + break; + } + } + } + } + } + } } } if (ref->Actual_group()) break; @@ -3611,6 +3632,11 @@ void UhdmWriter::lateBinding(Serializer& s, DesignComponent* mod, scope* m) { ref->Actual_group(c); break; } + if (std::string(std::string(m->VpiName()) + std::string("::") + + std::string(name)) == c->VpiName()) { + ref->Actual_group(c); + break; + } } } } diff --git a/tests/ArianeElab/ArianeElab.log b/tests/ArianeElab/ArianeElab.log index 78b5d9d3cc..007ca92e62 100644 --- a/tests/ArianeElab/ArianeElab.log +++ b/tests/ArianeElab/ArianeElab.log @@ -73123,125 +73123,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:ariane_pkg::extract_transfer_size::LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:ariane_pkg::extract_transfer_size::SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:ariane_pkg::extract_transfer_size::FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:ariane_pkg::extract_transfer_size::FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (ariane_pkg::extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -73262,133 +73202,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:ariane_pkg::extract_transfer_size::LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:ariane_pkg::extract_transfer_size::LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:ariane_pkg::extract_transfer_size::SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:ariane_pkg::extract_transfer_size::FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:ariane_pkg::extract_transfer_size::FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (ariane_pkg::extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -73409,45 +73285,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:ariane_pkg::extract_transfer_size::LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:ariane_pkg::extract_transfer_size::LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:ariane_pkg::extract_transfer_size::SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:ariane_pkg::extract_transfer_size::FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:ariane_pkg::extract_transfer_size::FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -73463,45 +73319,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:ariane_pkg::extract_transfer_size::LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:ariane_pkg::extract_transfer_size::LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:ariane_pkg::extract_transfer_size::SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:ariane_pkg::extract_transfer_size::FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:ariane_pkg::extract_transfer_size::FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -76172,13 +76008,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT8), line:1559:7, endln:1559:11 - |vpiParent: - \_case_item: , line:1559:7, endln:1559:23 - |vpiName:INT8 - |vpiFullName:fpnew_pkg::int_width::INT8 - |vpiActual: - \_enum_const: (INT8), line:1549:5, endln:1549:9 + \_constant: , line:1559:7, endln:1559:11 + |vpiSize:2 + |INT:0 |vpiStmt: \_return_stmt: , line:1559:14, endln:1559:20 |vpiParent: @@ -76194,13 +76026,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT16), line:1560:7, endln:1560:12 - |vpiParent: - \_case_item: , line:1560:7, endln:1560:24 - |vpiName:INT16 - |vpiFullName:fpnew_pkg::int_width::INT16 - |vpiActual: - \_enum_const: (INT16), line:1550:5, endln:1550:10 + \_constant: , line:1560:7, endln:1560:12 + |vpiSize:2 + |INT:1 |vpiStmt: \_return_stmt: , line:1560:14, endln:1560:20 |vpiParent: @@ -76216,13 +76044,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT32), line:1561:7, endln:1561:12 - |vpiParent: - \_case_item: , line:1561:7, endln:1561:24 - |vpiName:INT32 - |vpiFullName:fpnew_pkg::int_width::INT32 - |vpiActual: - \_enum_const: (INT32), line:1551:5, endln:1551:10 + \_constant: , line:1561:7, endln:1561:12 + |vpiSize:2 + |INT:2 |vpiStmt: \_return_stmt: , line:1561:14, endln:1561:20 |vpiParent: @@ -76238,13 +76062,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT64), line:1562:7, endln:1562:12 - |vpiParent: - \_case_item: , line:1562:7, endln:1562:24 - |vpiName:INT64 - |vpiFullName:fpnew_pkg::int_width::INT64 - |vpiActual: - \_enum_const: (INT64), line:1552:5, endln:1552:10 + \_constant: , line:1562:7, endln:1562:12 + |vpiSize:2 + |INT:3 |vpiStmt: \_return_stmt: , line:1562:14, endln:1562:20 |vpiParent: @@ -77984,185 +77804,109 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::FMADD), line:1830:7, endln:1830:12 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:FMADD - |vpiFullName:fpnew_pkg::get_opgroup::FMADD - |vpiActual: - \_enum_const: (FMADD), line:1581:5, endln:1581:10 + \_constant: , line:1830:7, endln:1830:12 + |vpiSize:4 + |INT:0 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::FNMSUB), line:1830:14, endln:1830:20 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:FNMSUB - |vpiFullName:fpnew_pkg::get_opgroup::FNMSUB - |vpiActual: - \_enum_const: (FNMSUB), line:1581:12, endln:1581:18 + \_constant: , line:1830:14, endln:1830:20 + |vpiSize:4 + |INT:1 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::ADD), line:1830:22, endln:1830:25 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:ADD - |vpiFullName:fpnew_pkg::get_opgroup::ADD - |vpiActual: - \_enum_const: (ADD), line:1581:20, endln:1581:23 + \_constant: , line:1830:22, endln:1830:25 + |vpiSize:4 + |INT:2 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::MUL), line:1830:27, endln:1830:30 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:MUL - |vpiFullName:fpnew_pkg::get_opgroup::MUL - |vpiActual: - \_enum_const: (MUL), line:1581:25, endln:1581:28 + \_constant: , line:1830:27, endln:1830:30 + |vpiSize:4 + |INT:3 |vpiStmt: \_return_stmt: , line:1830:36, endln:1830:42 |vpiParent: \_case_item: , line:1830:7, endln:1830:50 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::ADDMUL), line:1830:43, endln:1830:49 - |vpiParent: - \_return_stmt: , line:1830:36, endln:1830:42 - |vpiName:ADDMUL - |vpiFullName:fpnew_pkg::get_opgroup::ADDMUL - |vpiActual: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11 + \_constant: , line:1830:43, endln:1830:49 + |vpiSize:2 + |INT:0 |vpiCaseItem: \_case_item: , line:1831:7, endln:1831:51 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::DIV), line:1831:7, endln:1831:10 - |vpiParent: - \_case_item: , line:1831:7, endln:1831:51 - |vpiName:DIV - |vpiFullName:fpnew_pkg::get_opgroup::DIV - |vpiActual: - \_enum_const: (DIV), line:1582:5, endln:1582:8 + \_constant: , line:1831:7, endln:1831:10 + |vpiSize:4 + |INT:4 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::SQRT), line:1831:12, endln:1831:16 - |vpiParent: - \_case_item: , line:1831:7, endln:1831:51 - |vpiName:SQRT - |vpiFullName:fpnew_pkg::get_opgroup::SQRT - |vpiActual: - \_enum_const: (SQRT), line:1582:10, endln:1582:14 + \_constant: , line:1831:12, endln:1831:16 + |vpiSize:4 + |INT:5 |vpiStmt: \_return_stmt: , line:1831:36, endln:1831:42 |vpiParent: \_case_item: , line:1831:7, endln:1831:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::DIVSQRT), line:1831:43, endln:1831:50 - |vpiParent: - \_return_stmt: , line:1831:36, endln:1831:42 - |vpiName:DIVSQRT - |vpiFullName:fpnew_pkg::get_opgroup::DIVSQRT - |vpiActual: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20 + \_constant: , line:1831:43, endln:1831:50 + |vpiSize:2 + |INT:1 |vpiCaseItem: \_case_item: , line:1832:7, endln:1832:51 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::SGNJ), line:1832:7, endln:1832:11 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:SGNJ - |vpiFullName:fpnew_pkg::get_opgroup::SGNJ - |vpiActual: - \_enum_const: (SGNJ), line:1583:5, endln:1583:9 + \_constant: , line:1832:7, endln:1832:11 + |vpiSize:4 + |INT:6 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::MINMAX), line:1832:13, endln:1832:19 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:MINMAX - |vpiFullName:fpnew_pkg::get_opgroup::MINMAX - |vpiActual: - \_enum_const: (MINMAX), line:1583:11, endln:1583:17 + \_constant: , line:1832:13, endln:1832:19 + |vpiSize:4 + |INT:7 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CMP), line:1832:21, endln:1832:24 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:CMP - |vpiFullName:fpnew_pkg::get_opgroup::CMP - |vpiActual: - \_enum_const: (CMP), line:1583:19, endln:1583:22 + \_constant: , line:1832:21, endln:1832:24 + |vpiSize:4 + |INT:8 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CLASSIFY), line:1832:26, endln:1832:34 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:CLASSIFY - |vpiFullName:fpnew_pkg::get_opgroup::CLASSIFY - |vpiActual: - \_enum_const: (CLASSIFY), line:1583:24, endln:1583:32 + \_constant: , line:1832:26, endln:1832:34 + |vpiSize:4 + |INT:9 |vpiStmt: \_return_stmt: , line:1832:36, endln:1832:42 |vpiParent: \_case_item: , line:1832:7, endln:1832:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::NONCOMP), line:1832:43, endln:1832:50 - |vpiParent: - \_return_stmt: , line:1832:36, endln:1832:42 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::get_opgroup::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1832:43, endln:1832:50 + |vpiSize:2 + |INT:2 |vpiCaseItem: \_case_item: , line:1833:7, endln:1833:48 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::F2F), line:1833:7, endln:1833:10 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:F2F - |vpiFullName:fpnew_pkg::get_opgroup::F2F - |vpiActual: - \_enum_const: (F2F), line:1584:5, endln:1584:8 + \_constant: , line:1833:7, endln:1833:10 + |vpiSize:4 + |INT:10 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::F2I), line:1833:12, endln:1833:15 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:F2I - |vpiFullName:fpnew_pkg::get_opgroup::F2I - |vpiActual: - \_enum_const: (F2I), line:1584:10, endln:1584:13 + \_constant: , line:1833:12, endln:1833:15 + |vpiSize:4 + |INT:11 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::I2F), line:1833:17, endln:1833:20 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:I2F - |vpiFullName:fpnew_pkg::get_opgroup::I2F - |vpiActual: - \_enum_const: (I2F), line:1584:15, endln:1584:18 + \_constant: , line:1833:17, endln:1833:20 + |vpiSize:4 + |INT:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CPKAB), line:1833:22, endln:1833:27 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:CPKAB - |vpiFullName:fpnew_pkg::get_opgroup::CPKAB - |vpiActual: - \_enum_const: (CPKAB), line:1584:20, endln:1584:25 + \_constant: , line:1833:22, endln:1833:27 + |vpiSize:4 + |INT:13 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CPKCD), line:1833:29, endln:1833:34 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:CPKCD - |vpiFullName:fpnew_pkg::get_opgroup::CPKCD - |vpiActual: - \_enum_const: (CPKCD), line:1584:27, endln:1584:32 + \_constant: , line:1833:29, endln:1833:34 + |vpiSize:4 + |INT:14 |vpiStmt: \_return_stmt: , line:1833:36, endln:1833:42 |vpiParent: \_case_item: , line:1833:7, endln:1833:48 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::CONV), line:1833:43, endln:1833:47 - |vpiParent: - \_return_stmt: , line:1833:36, endln:1833:42 - |vpiName:CONV - |vpiFullName:fpnew_pkg::get_opgroup::CONV - |vpiActual: - \_enum_const: (CONV), line:1575:31, endln:1575:35 + \_constant: , line:1833:43, endln:1833:47 + |vpiSize:2 + |INT:3 |vpiCaseItem: \_case_item: , line:1834:7, endln:1834:51 |vpiParent: @@ -78172,13 +77916,9 @@ design: (work@top) |vpiParent: \_case_item: , line:1834:7, endln:1834:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::NONCOMP), line:1834:43, endln:1834:50 - |vpiParent: - \_return_stmt: , line:1834:36, endln:1834:42 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::get_opgroup::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1834:43, endln:1834:50 + |vpiSize:2 + |INT:2 |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::), file:${SURELOG_DIR}/tests/ArianeElab/dut.sv, line:1485:1, endln:1955:11 |vpiTaskFunc: @@ -78233,13 +77973,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::ADDMUL), line:1841:7, endln:1841:13 - |vpiParent: - \_case_item: , line:1841:7, endln:1841:25 - |vpiName:ADDMUL - |vpiFullName:fpnew_pkg::num_operands::ADDMUL - |vpiActual: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11 + \_constant: , line:1841:7, endln:1841:13 + |vpiSize:2 + |INT:0 |vpiStmt: \_return_stmt: , line:1841:16, endln:1841:22 |vpiParent: @@ -78255,13 +77991,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::DIVSQRT), line:1842:7, endln:1842:14 - |vpiParent: - \_case_item: , line:1842:7, endln:1842:25 - |vpiName:DIVSQRT - |vpiFullName:fpnew_pkg::num_operands::DIVSQRT - |vpiActual: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20 + \_constant: , line:1842:7, endln:1842:14 + |vpiSize:2 + |INT:1 |vpiStmt: \_return_stmt: , line:1842:16, endln:1842:22 |vpiParent: @@ -78277,13 +78009,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::NONCOMP), line:1843:7, endln:1843:14 - |vpiParent: - \_case_item: , line:1843:7, endln:1843:25 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::num_operands::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1843:7, endln:1843:14 + |vpiSize:2 + |INT:2 |vpiStmt: \_return_stmt: , line:1843:16, endln:1843:22 |vpiParent: @@ -78299,13 +78027,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::CONV), line:1844:7, endln:1844:11 - |vpiParent: - \_case_item: , line:1844:7, endln:1844:25 - |vpiName:CONV - |vpiFullName:fpnew_pkg::num_operands::CONV - |vpiActual: - \_enum_const: (CONV), line:1575:31, endln:1575:35 + \_constant: , line:1844:7, endln:1844:11 + |vpiSize:2 + |INT:3 |vpiStmt: \_return_stmt: , line:1844:16, endln:1844:22 |vpiParent: @@ -105362,125 +105086,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -105497,133 +105161,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -105640,45 +105240,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -105690,45 +105270,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -112332,125 +111892,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -112467,133 +111967,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -112610,45 +112046,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -112660,45 +112076,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -119385,125 +118781,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -119520,133 +118856,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -119663,45 +118935,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -119713,45 +118965,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: diff --git a/tests/ArianeElab2/ArianeElab2.log b/tests/ArianeElab2/ArianeElab2.log index 87487b577a..aff55a3625 100644 --- a/tests/ArianeElab2/ArianeElab2.log +++ b/tests/ArianeElab2/ArianeElab2.log @@ -73730,125 +73730,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:ariane_pkg::extract_transfer_size::LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:ariane_pkg::extract_transfer_size::SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:ariane_pkg::extract_transfer_size::FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:ariane_pkg::extract_transfer_size::FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (ariane_pkg::extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -73869,133 +73809,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:ariane_pkg::extract_transfer_size::LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:ariane_pkg::extract_transfer_size::LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:ariane_pkg::extract_transfer_size::SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:ariane_pkg::extract_transfer_size::FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:ariane_pkg::extract_transfer_size::FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:ariane_pkg::extract_transfer_size::AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (ariane_pkg::extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -74016,45 +73892,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:ariane_pkg::extract_transfer_size::LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:ariane_pkg::extract_transfer_size::LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:ariane_pkg::extract_transfer_size::SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:ariane_pkg::extract_transfer_size::FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:ariane_pkg::extract_transfer_size::FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -74070,45 +73926,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:ariane_pkg::extract_transfer_size::LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:ariane_pkg::extract_transfer_size::LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:ariane_pkg::extract_transfer_size::SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:ariane_pkg::extract_transfer_size::FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (ariane_pkg::extract_transfer_size::FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:ariane_pkg::extract_transfer_size::FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -76779,13 +76615,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT8), line:1559:7, endln:1559:11 - |vpiParent: - \_case_item: , line:1559:7, endln:1559:23 - |vpiName:INT8 - |vpiFullName:fpnew_pkg::int_width::INT8 - |vpiActual: - \_enum_const: (INT8), line:1549:5, endln:1549:9 + \_constant: , line:1559:7, endln:1559:11 + |vpiSize:2 + |INT:0 |vpiStmt: \_return_stmt: , line:1559:14, endln:1559:20 |vpiParent: @@ -76801,13 +76633,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT16), line:1560:7, endln:1560:12 - |vpiParent: - \_case_item: , line:1560:7, endln:1560:24 - |vpiName:INT16 - |vpiFullName:fpnew_pkg::int_width::INT16 - |vpiActual: - \_enum_const: (INT16), line:1550:5, endln:1550:10 + \_constant: , line:1560:7, endln:1560:12 + |vpiSize:2 + |INT:1 |vpiStmt: \_return_stmt: , line:1560:14, endln:1560:20 |vpiParent: @@ -76823,13 +76651,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT32), line:1561:7, endln:1561:12 - |vpiParent: - \_case_item: , line:1561:7, endln:1561:24 - |vpiName:INT32 - |vpiFullName:fpnew_pkg::int_width::INT32 - |vpiActual: - \_enum_const: (INT32), line:1551:5, endln:1551:10 + \_constant: , line:1561:7, endln:1561:12 + |vpiSize:2 + |INT:2 |vpiStmt: \_return_stmt: , line:1561:14, endln:1561:20 |vpiParent: @@ -76845,13 +76669,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1558:5, endln:1563:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::int_width::INT64), line:1562:7, endln:1562:12 - |vpiParent: - \_case_item: , line:1562:7, endln:1562:24 - |vpiName:INT64 - |vpiFullName:fpnew_pkg::int_width::INT64 - |vpiActual: - \_enum_const: (INT64), line:1552:5, endln:1552:10 + \_constant: , line:1562:7, endln:1562:12 + |vpiSize:2 + |INT:3 |vpiStmt: \_return_stmt: , line:1562:14, endln:1562:20 |vpiParent: @@ -78591,185 +78411,109 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::FMADD), line:1830:7, endln:1830:12 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:FMADD - |vpiFullName:fpnew_pkg::get_opgroup::FMADD - |vpiActual: - \_enum_const: (FMADD), line:1581:5, endln:1581:10 + \_constant: , line:1830:7, endln:1830:12 + |vpiSize:4 + |INT:0 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::FNMSUB), line:1830:14, endln:1830:20 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:FNMSUB - |vpiFullName:fpnew_pkg::get_opgroup::FNMSUB - |vpiActual: - \_enum_const: (FNMSUB), line:1581:12, endln:1581:18 + \_constant: , line:1830:14, endln:1830:20 + |vpiSize:4 + |INT:1 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::ADD), line:1830:22, endln:1830:25 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:ADD - |vpiFullName:fpnew_pkg::get_opgroup::ADD - |vpiActual: - \_enum_const: (ADD), line:1581:20, endln:1581:23 + \_constant: , line:1830:22, endln:1830:25 + |vpiSize:4 + |INT:2 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::MUL), line:1830:27, endln:1830:30 - |vpiParent: - \_case_item: , line:1830:7, endln:1830:50 - |vpiName:MUL - |vpiFullName:fpnew_pkg::get_opgroup::MUL - |vpiActual: - \_enum_const: (MUL), line:1581:25, endln:1581:28 + \_constant: , line:1830:27, endln:1830:30 + |vpiSize:4 + |INT:3 |vpiStmt: \_return_stmt: , line:1830:36, endln:1830:42 |vpiParent: \_case_item: , line:1830:7, endln:1830:50 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::ADDMUL), line:1830:43, endln:1830:49 - |vpiParent: - \_return_stmt: , line:1830:36, endln:1830:42 - |vpiName:ADDMUL - |vpiFullName:fpnew_pkg::get_opgroup::ADDMUL - |vpiActual: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11 + \_constant: , line:1830:43, endln:1830:49 + |vpiSize:2 + |INT:0 |vpiCaseItem: \_case_item: , line:1831:7, endln:1831:51 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::DIV), line:1831:7, endln:1831:10 - |vpiParent: - \_case_item: , line:1831:7, endln:1831:51 - |vpiName:DIV - |vpiFullName:fpnew_pkg::get_opgroup::DIV - |vpiActual: - \_enum_const: (DIV), line:1582:5, endln:1582:8 + \_constant: , line:1831:7, endln:1831:10 + |vpiSize:4 + |INT:4 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::SQRT), line:1831:12, endln:1831:16 - |vpiParent: - \_case_item: , line:1831:7, endln:1831:51 - |vpiName:SQRT - |vpiFullName:fpnew_pkg::get_opgroup::SQRT - |vpiActual: - \_enum_const: (SQRT), line:1582:10, endln:1582:14 + \_constant: , line:1831:12, endln:1831:16 + |vpiSize:4 + |INT:5 |vpiStmt: \_return_stmt: , line:1831:36, endln:1831:42 |vpiParent: \_case_item: , line:1831:7, endln:1831:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::DIVSQRT), line:1831:43, endln:1831:50 - |vpiParent: - \_return_stmt: , line:1831:36, endln:1831:42 - |vpiName:DIVSQRT - |vpiFullName:fpnew_pkg::get_opgroup::DIVSQRT - |vpiActual: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20 + \_constant: , line:1831:43, endln:1831:50 + |vpiSize:2 + |INT:1 |vpiCaseItem: \_case_item: , line:1832:7, endln:1832:51 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::SGNJ), line:1832:7, endln:1832:11 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:SGNJ - |vpiFullName:fpnew_pkg::get_opgroup::SGNJ - |vpiActual: - \_enum_const: (SGNJ), line:1583:5, endln:1583:9 + \_constant: , line:1832:7, endln:1832:11 + |vpiSize:4 + |INT:6 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::MINMAX), line:1832:13, endln:1832:19 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:MINMAX - |vpiFullName:fpnew_pkg::get_opgroup::MINMAX - |vpiActual: - \_enum_const: (MINMAX), line:1583:11, endln:1583:17 + \_constant: , line:1832:13, endln:1832:19 + |vpiSize:4 + |INT:7 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CMP), line:1832:21, endln:1832:24 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:CMP - |vpiFullName:fpnew_pkg::get_opgroup::CMP - |vpiActual: - \_enum_const: (CMP), line:1583:19, endln:1583:22 + \_constant: , line:1832:21, endln:1832:24 + |vpiSize:4 + |INT:8 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CLASSIFY), line:1832:26, endln:1832:34 - |vpiParent: - \_case_item: , line:1832:7, endln:1832:51 - |vpiName:CLASSIFY - |vpiFullName:fpnew_pkg::get_opgroup::CLASSIFY - |vpiActual: - \_enum_const: (CLASSIFY), line:1583:24, endln:1583:32 + \_constant: , line:1832:26, endln:1832:34 + |vpiSize:4 + |INT:9 |vpiStmt: \_return_stmt: , line:1832:36, endln:1832:42 |vpiParent: \_case_item: , line:1832:7, endln:1832:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::NONCOMP), line:1832:43, endln:1832:50 - |vpiParent: - \_return_stmt: , line:1832:36, endln:1832:42 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::get_opgroup::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1832:43, endln:1832:50 + |vpiSize:2 + |INT:2 |vpiCaseItem: \_case_item: , line:1833:7, endln:1833:48 |vpiParent: \_case_stmt: , line:1829:5, endln:1835:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::F2F), line:1833:7, endln:1833:10 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:F2F - |vpiFullName:fpnew_pkg::get_opgroup::F2F - |vpiActual: - \_enum_const: (F2F), line:1584:5, endln:1584:8 + \_constant: , line:1833:7, endln:1833:10 + |vpiSize:4 + |INT:10 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::F2I), line:1833:12, endln:1833:15 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:F2I - |vpiFullName:fpnew_pkg::get_opgroup::F2I - |vpiActual: - \_enum_const: (F2I), line:1584:10, endln:1584:13 + \_constant: , line:1833:12, endln:1833:15 + |vpiSize:4 + |INT:11 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::I2F), line:1833:17, endln:1833:20 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:I2F - |vpiFullName:fpnew_pkg::get_opgroup::I2F - |vpiActual: - \_enum_const: (I2F), line:1584:15, endln:1584:18 + \_constant: , line:1833:17, endln:1833:20 + |vpiSize:4 + |INT:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CPKAB), line:1833:22, endln:1833:27 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:CPKAB - |vpiFullName:fpnew_pkg::get_opgroup::CPKAB - |vpiActual: - \_enum_const: (CPKAB), line:1584:20, endln:1584:25 + \_constant: , line:1833:22, endln:1833:27 + |vpiSize:4 + |INT:13 |vpiExpr: - \_ref_obj: (fpnew_pkg::get_opgroup::CPKCD), line:1833:29, endln:1833:34 - |vpiParent: - \_case_item: , line:1833:7, endln:1833:48 - |vpiName:CPKCD - |vpiFullName:fpnew_pkg::get_opgroup::CPKCD - |vpiActual: - \_enum_const: (CPKCD), line:1584:27, endln:1584:32 + \_constant: , line:1833:29, endln:1833:34 + |vpiSize:4 + |INT:14 |vpiStmt: \_return_stmt: , line:1833:36, endln:1833:42 |vpiParent: \_case_item: , line:1833:7, endln:1833:48 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::CONV), line:1833:43, endln:1833:47 - |vpiParent: - \_return_stmt: , line:1833:36, endln:1833:42 - |vpiName:CONV - |vpiFullName:fpnew_pkg::get_opgroup::CONV - |vpiActual: - \_enum_const: (CONV), line:1575:31, endln:1575:35 + \_constant: , line:1833:43, endln:1833:47 + |vpiSize:2 + |INT:3 |vpiCaseItem: \_case_item: , line:1834:7, endln:1834:51 |vpiParent: @@ -78779,13 +78523,9 @@ design: (work@top) |vpiParent: \_case_item: , line:1834:7, endln:1834:51 |vpiCondition: - \_ref_obj: (fpnew_pkg::get_opgroup::NONCOMP), line:1834:43, endln:1834:50 - |vpiParent: - \_return_stmt: , line:1834:36, endln:1834:42 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::get_opgroup::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1834:43, endln:1834:50 + |vpiSize:2 + |INT:2 |vpiInstance: \_package: fpnew_pkg (fpnew_pkg::), file:${SURELOG_DIR}/tests/ArianeElab2/dut.sv, line:1485:1, endln:1955:11 |vpiTaskFunc: @@ -78840,13 +78580,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::ADDMUL), line:1841:7, endln:1841:13 - |vpiParent: - \_case_item: , line:1841:7, endln:1841:25 - |vpiName:ADDMUL - |vpiFullName:fpnew_pkg::num_operands::ADDMUL - |vpiActual: - \_enum_const: (ADDMUL), line:1575:5, endln:1575:11 + \_constant: , line:1841:7, endln:1841:13 + |vpiSize:2 + |INT:0 |vpiStmt: \_return_stmt: , line:1841:16, endln:1841:22 |vpiParent: @@ -78870,13 +78606,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::DIVSQRT), line:1842:7, endln:1842:14 - |vpiParent: - \_case_item: , line:1842:7, endln:1842:25 - |vpiName:DIVSQRT - |vpiFullName:fpnew_pkg::num_operands::DIVSQRT - |vpiActual: - \_enum_const: (DIVSQRT), line:1575:13, endln:1575:20 + \_constant: , line:1842:7, endln:1842:14 + |vpiSize:2 + |INT:1 |vpiStmt: \_return_stmt: , line:1842:16, endln:1842:22 |vpiParent: @@ -78900,13 +78632,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::NONCOMP), line:1843:7, endln:1843:14 - |vpiParent: - \_case_item: , line:1843:7, endln:1843:25 - |vpiName:NONCOMP - |vpiFullName:fpnew_pkg::num_operands::NONCOMP - |vpiActual: - \_enum_const: (NONCOMP), line:1575:22, endln:1575:29 + \_constant: , line:1843:7, endln:1843:14 + |vpiSize:2 + |INT:2 |vpiStmt: \_return_stmt: , line:1843:16, endln:1843:22 |vpiParent: @@ -78930,13 +78658,9 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1840:5, endln:1846:12 |vpiExpr: - \_ref_obj: (fpnew_pkg::num_operands::CONV), line:1844:7, endln:1844:11 - |vpiParent: - \_case_item: , line:1844:7, endln:1844:25 - |vpiName:CONV - |vpiFullName:fpnew_pkg::num_operands::CONV - |vpiActual: - \_enum_const: (CONV), line:1575:31, endln:1575:35 + \_constant: , line:1844:7, endln:1844:11 + |vpiSize:2 + |INT:3 |vpiStmt: \_return_stmt: , line:1844:16, endln:1844:22 |vpiParent: @@ -106804,125 +106528,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -106939,133 +106603,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -107082,45 +106682,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -107132,45 +106712,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -113774,125 +113334,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -113909,133 +113409,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -114052,45 +113488,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -114102,45 +113518,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.ex_stage_i.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: @@ -120827,125 +120223,65 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LD), line:1460:13, endln:1460:15 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:LD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LD - |vpiActual: - \_enum_const: (LD), line:1100:32, endln:1100:34 + \_constant: , line:1460:13, endln:1460:15 + |vpiSize:7 + |INT:35 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SD), line:1460:17, endln:1460:19 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:SD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SD - |vpiActual: - \_enum_const: (SD), line:1100:36, endln:1100:38 + \_constant: , line:1460:17, endln:1460:19 + |vpiSize:7 + |INT:36 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLD), line:1460:21, endln:1460:24 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FLD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLD - |vpiActual: - \_enum_const: (FLD), line:1110:32, endln:1110:35 + \_constant: , line:1460:21, endln:1460:24 + |vpiSize:7 + |INT:81 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSD), line:1460:26, endln:1460:29 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:FSD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSD - |vpiActual: - \_enum_const: (FSD), line:1110:52, endln:1110:55 + \_constant: , line:1460:26, endln:1460:29 + |vpiSize:7 + |INT:85 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRD), line:1461:13, endln:1461:20 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_LRD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRD - |vpiActual: - \_enum_const: (AMO_LRD), line:1102:41, endln:1102:48 + \_constant: , line:1461:13, endln:1461:20 + |vpiSize:7 + |INT:47 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCD), line:1461:24, endln:1461:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SCD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCD - |vpiActual: - \_enum_const: (AMO_SCD), line:1102:59, endln:1102:66 + \_constant: , line:1461:24, endln:1461:31 + |vpiSize:7 + |INT:49 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPD), line:1462:13, endln:1462:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_SWAPD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPD - |vpiActual: - \_enum_const: (AMO_SWAPD), line:1104:32, endln:1104:41 + \_constant: , line:1462:13, endln:1462:22 + |vpiSize:7 + |INT:59 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDD), line:1462:24, endln:1462:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ADDD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDD - |vpiActual: - \_enum_const: (AMO_ADDD), line:1104:43, endln:1104:51 + \_constant: , line:1462:24, endln:1462:32 + |vpiSize:7 + |INT:60 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDD), line:1463:13, endln:1463:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ANDD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDD - |vpiActual: - \_enum_const: (AMO_ANDD), line:1104:53, endln:1104:61 + \_constant: , line:1463:13, endln:1463:21 + |vpiSize:7 + |INT:61 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORD), line:1463:24, endln:1463:31 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_ORD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORD - |vpiActual: - \_enum_const: (AMO_ORD), line:1104:63, endln:1104:70 + \_constant: , line:1463:24, endln:1463:31 + |vpiSize:7 + |INT:62 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORD), line:1464:13, endln:1464:21 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_XORD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORD - |vpiActual: - \_enum_const: (AMO_XORD), line:1104:72, endln:1104:80 + \_constant: , line:1464:13, endln:1464:21 + |vpiSize:7 + |INT:63 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXD), line:1464:24, endln:1464:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXD - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXD - |vpiActual: - \_enum_const: (AMO_MAXD), line:1104:82, endln:1104:90 + \_constant: , line:1464:24, endln:1464:32 + |vpiSize:7 + |INT:64 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXDU), line:1465:13, endln:1465:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MAXDU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXDU - |vpiActual: - \_enum_const: (AMO_MAXDU), line:1104:92, endln:1104:101 + \_constant: , line:1465:13, endln:1465:22 + |vpiSize:7 + |INT:65 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MIND), line:1465:24, endln:1465:32 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MIND - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MIND - |vpiActual: - \_enum_const: (AMO_MIND), line:1104:103, endln:1104:111 + \_constant: , line:1465:24, endln:1465:32 + |vpiSize:7 + |INT:66 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINDU), line:1466:13, endln:1466:22 - |vpiParent: - \_case_item: , line:1460:13, endln:1468:16 - |vpiName:AMO_MINDU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINDU - |vpiActual: - \_enum_const: (AMO_MINDU), line:1104:113, endln:1104:122 + \_constant: , line:1466:13, endln:1466:22 + |vpiSize:7 + |INT:67 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size), line:1466:24, endln:1468:16 |vpiParent: @@ -120962,133 +120298,69 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LW), line:1469:13, endln:1469:15 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LW - |vpiActual: - \_enum_const: (LW), line:1100:40, endln:1100:42 + \_constant: , line:1469:13, endln:1469:15 + |vpiSize:7 + |INT:37 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LWU), line:1469:17, endln:1469:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:LWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LWU - |vpiActual: - \_enum_const: (LWU), line:1100:44, endln:1100:47 + \_constant: , line:1469:17, endln:1469:20 + |vpiSize:7 + |INT:38 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SW), line:1469:22, endln:1469:24 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:SW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SW - |vpiActual: - \_enum_const: (SW), line:1100:49, endln:1100:51 + \_constant: , line:1469:22, endln:1469:24 + |vpiSize:7 + |INT:39 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLW), line:1469:26, endln:1469:29 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FLW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLW - |vpiActual: - \_enum_const: (FLW), line:1110:37, endln:1110:40 + \_constant: , line:1469:26, endln:1469:29 + |vpiSize:7 + |INT:82 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSW), line:1469:31, endln:1469:34 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:FSW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSW - |vpiActual: - \_enum_const: (FSW), line:1110:57, endln:1110:60 + \_constant: , line:1469:31, endln:1469:34 + |vpiSize:7 + |INT:86 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRW), line:1470:13, endln:1470:20 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_LRW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_LRW - |vpiActual: - \_enum_const: (AMO_LRW), line:1102:32, endln:1102:39 + \_constant: , line:1470:13, endln:1470:20 + |vpiSize:7 + |INT:46 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCW), line:1470:24, endln:1470:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SCW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SCW - |vpiActual: - \_enum_const: (AMO_SCW), line:1102:50, endln:1102:57 + \_constant: , line:1470:24, endln:1470:31 + |vpiSize:7 + |INT:48 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPW), line:1471:13, endln:1471:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_SWAPW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_SWAPW - |vpiActual: - \_enum_const: (AMO_SWAPW), line:1103:32, endln:1103:41 + \_constant: , line:1471:13, endln:1471:22 + |vpiSize:7 + |INT:50 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDW), line:1471:24, endln:1471:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ADDW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ADDW - |vpiActual: - \_enum_const: (AMO_ADDW), line:1103:43, endln:1103:51 + \_constant: , line:1471:24, endln:1471:32 + |vpiSize:7 + |INT:51 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDW), line:1472:13, endln:1472:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ANDW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ANDW - |vpiActual: - \_enum_const: (AMO_ANDW), line:1103:53, endln:1103:61 + \_constant: , line:1472:13, endln:1472:21 + |vpiSize:7 + |INT:52 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORW), line:1472:24, endln:1472:31 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_ORW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_ORW - |vpiActual: - \_enum_const: (AMO_ORW), line:1103:63, endln:1103:70 + \_constant: , line:1472:24, endln:1472:31 + |vpiSize:7 + |INT:53 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORW), line:1473:13, endln:1473:21 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_XORW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_XORW - |vpiActual: - \_enum_const: (AMO_XORW), line:1103:72, endln:1103:80 + \_constant: , line:1473:13, endln:1473:21 + |vpiSize:7 + |INT:54 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXW), line:1473:24, endln:1473:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXW - |vpiActual: - \_enum_const: (AMO_MAXW), line:1103:82, endln:1103:90 + \_constant: , line:1473:24, endln:1473:32 + |vpiSize:7 + |INT:55 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXWU), line:1474:13, endln:1474:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MAXWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MAXWU - |vpiActual: - \_enum_const: (AMO_MAXWU), line:1103:92, endln:1103:101 + \_constant: , line:1474:13, endln:1474:22 + |vpiSize:7 + |INT:56 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINW), line:1474:24, endln:1474:32 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINW - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINW - |vpiActual: - \_enum_const: (AMO_MINW), line:1103:103, endln:1103:111 + \_constant: , line:1474:24, endln:1474:32 + |vpiSize:7 + |INT:57 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINWU), line:1475:13, endln:1475:22 - |vpiParent: - \_case_item: , line:1469:13, endln:1477:16 - |vpiName:AMO_MINWU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.AMO_MINWU - |vpiActual: - \_enum_const: (AMO_MINWU), line:1103:113, endln:1103:122 + \_constant: , line:1475:13, endln:1475:22 + |vpiSize:7 + |INT:58 |vpiStmt: \_begin: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size), line:1475:24, endln:1477:16 |vpiParent: @@ -121105,45 +120377,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LH), line:1478:13, endln:1478:15 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LH - |vpiActual: - \_enum_const: (LH), line:1100:53, endln:1100:55 + \_constant: , line:1478:13, endln:1478:15 + |vpiSize:7 + |INT:40 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LHU), line:1478:17, endln:1478:20 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:LHU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LHU - |vpiActual: - \_enum_const: (LHU), line:1100:57, endln:1100:60 + \_constant: , line:1478:17, endln:1478:20 + |vpiSize:7 + |INT:41 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SH), line:1478:22, endln:1478:24 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:SH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SH - |vpiActual: - \_enum_const: (SH), line:1100:62, endln:1100:64 + \_constant: , line:1478:22, endln:1478:24 + |vpiSize:7 + |INT:42 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLH), line:1478:26, endln:1478:29 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FLH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLH - |vpiActual: - \_enum_const: (FLH), line:1110:42, endln:1110:45 + \_constant: , line:1478:26, endln:1478:29 + |vpiSize:7 + |INT:83 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSH), line:1478:31, endln:1478:34 - |vpiParent: - \_case_item: , line:1478:13, endln:1478:49 - |vpiName:FSH - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSH - |vpiActual: - \_enum_const: (FSH), line:1110:62, endln:1110:65 + \_constant: , line:1478:31, endln:1478:34 + |vpiSize:7 + |INT:87 |vpiStmt: \_return_stmt: , line:1478:36, endln:1478:42 |vpiParent: @@ -121155,45 +120407,25 @@ design: (work@top) |vpiParent: \_case_stmt: , line:1459:9, endln:1481:16 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LB), line:1479:13, endln:1479:15 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LB - |vpiActual: - \_enum_const: (LB), line:1100:66, endln:1100:68 + \_constant: , line:1479:13, endln:1479:15 + |vpiSize:7 + |INT:43 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LBU), line:1479:17, endln:1479:20 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:LBU - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.LBU - |vpiActual: - \_enum_const: (LBU), line:1100:74, endln:1100:77 + \_constant: , line:1479:17, endln:1479:20 + |vpiSize:7 + |INT:45 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SB), line:1479:22, endln:1479:24 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:SB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.SB - |vpiActual: - \_enum_const: (SB), line:1100:70, endln:1100:72 + \_constant: , line:1479:22, endln:1479:24 + |vpiSize:7 + |INT:44 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLB), line:1479:26, endln:1479:29 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FLB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FLB - |vpiActual: - \_enum_const: (FLB), line:1110:47, endln:1110:50 + \_constant: , line:1479:26, endln:1479:29 + |vpiSize:7 + |INT:84 |vpiExpr: - \_ref_obj: (work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSB), line:1479:31, endln:1479:34 - |vpiParent: - \_case_item: , line:1479:13, endln:1479:49 - |vpiName:FSB - |vpiFullName:work@top.i_ariane.ex_stage_i.fpu_gen.fpu_i.extract_transfer_size.FSB - |vpiActual: - \_enum_const: (FSB), line:1110:67, endln:1110:70 + \_constant: , line:1479:31, endln:1479:34 + |vpiSize:7 + |INT:88 |vpiStmt: \_return_stmt: , line:1479:36, endln:1479:42 |vpiParent: diff --git a/tests/AssertTempError/AssertTempError.log b/tests/AssertTempError/AssertTempError.log index 129c01a7c4..ee7cc732f1 100644 --- a/tests/AssertTempError/AssertTempError.log +++ b/tests/AssertTempError/AssertTempError.log @@ -4245,7 +4245,7 @@ design: (work@tb_UART) |vpiParent: \_module_inst: work@UART (work@tb_UART.uart_inst), file:${SURELOG_DIR}/tests/AssertTempError/testbench.sv, line:10:5, endln:18:7 |vpiRhs: - \_constant: , line:12:22, endln:12:23 + \_constant: , line:34:13, endln:34:17 |vpiParent: \_param_assign: , line:12:15, endln:12:23 |vpiDecompile:0 @@ -4254,7 +4254,7 @@ design: (work@tb_UART) |vpiTypespec: \_ref_typespec: (work@tb_UART.uart_inst) |vpiParent: - \_constant: , line:12:22, endln:12:23 + \_constant: , line:34:13, endln:34:17 |vpiFullName:work@tb_UART.uart_inst |vpiActual: \_int_typespec: , line:12:5, endln:12:54 @@ -4266,7 +4266,7 @@ design: (work@tb_UART) |vpiParent: \_module_inst: work@UART (work@tb_UART.uart_inst), file:${SURELOG_DIR}/tests/AssertTempError/testbench.sv, line:10:5, endln:18:7 |vpiRhs: - \_constant: , line:12:33, endln:12:34 + \_constant: , line:42:13, endln:42:18 |vpiParent: \_param_assign: , line:12:25, endln:12:34 |vpiDecompile:1 @@ -4275,7 +4275,7 @@ design: (work@tb_UART) |vpiTypespec: \_ref_typespec: (work@tb_UART.uart_inst) |vpiParent: - \_constant: , line:12:33, endln:12:34 + \_constant: , line:42:13, endln:42:18 |vpiFullName:work@tb_UART.uart_inst |vpiActual: \_int_typespec: , line:12:5, endln:12:54 @@ -4287,7 +4287,7 @@ design: (work@tb_UART) |vpiParent: \_module_inst: work@UART (work@tb_UART.uart_inst), file:${SURELOG_DIR}/tests/AssertTempError/testbench.sv, line:10:5, endln:18:7 |vpiRhs: - \_constant: , line:12:43, endln:12:44 + \_constant: , line:50:13, endln:50:17 |vpiParent: \_param_assign: , line:12:36, endln:12:44 |vpiDecompile:2 @@ -4296,7 +4296,7 @@ design: (work@tb_UART) |vpiTypespec: \_ref_typespec: (work@tb_UART.uart_inst) |vpiParent: - \_constant: , line:12:43, endln:12:44 + \_constant: , line:50:13, endln:50:17 |vpiFullName:work@tb_UART.uart_inst |vpiActual: \_int_typespec: , line:12:5, endln:12:54 @@ -4308,7 +4308,7 @@ design: (work@tb_UART) |vpiParent: \_module_inst: work@UART (work@tb_UART.uart_inst), file:${SURELOG_DIR}/tests/AssertTempError/testbench.sv, line:10:5, endln:18:7 |vpiRhs: - \_constant: , line:12:53, endln:12:54 + \_constant: , line:60:13, endln:60:17 |vpiParent: \_param_assign: , line:12:46, endln:12:54 |vpiDecompile:3 @@ -4317,7 +4317,7 @@ design: (work@tb_UART) |vpiTypespec: \_ref_typespec: (work@tb_UART.uart_inst) |vpiParent: - \_constant: , line:12:53, endln:12:54 + \_constant: , line:60:13, endln:60:17 |vpiFullName:work@tb_UART.uart_inst |vpiActual: \_int_typespec: , line:12:5, endln:12:54 @@ -5023,13 +5023,7 @@ design: (work@tb_UART) |vpiParent: \_case_stmt: , line:33:9, endln:64:16 |vpiExpr: - \_ref_obj: (work@tb_UART.uart_inst.IDLE), line:34:13, endln:34:17 - |vpiParent: - \_case_item: , line:34:13, endln:41:16 - |vpiName:IDLE - |vpiFullName:work@tb_UART.uart_inst.IDLE - |vpiActual: - \_parameter: (work@tb_UART.uart_inst.IDLE), line:12:15, endln:12:19 + \_constant: , line:34:13, endln:34:17 |vpiStmt: \_begin: (work@tb_UART.uart_inst), line:34:19, endln:41:16 |vpiParent: @@ -5133,13 +5127,7 @@ design: (work@tb_UART) |vpiParent: \_case_stmt: , line:33:9, endln:64:16 |vpiExpr: - \_ref_obj: (work@tb_UART.uart_inst.START), line:42:13, endln:42:18 - |vpiParent: - \_case_item: , line:42:13, endln:49:16 - |vpiName:START - |vpiFullName:work@tb_UART.uart_inst.START - |vpiActual: - \_parameter: (work@tb_UART.uart_inst.START), line:12:25, endln:12:30 + \_constant: , line:42:13, endln:42:18 |vpiStmt: \_begin: (work@tb_UART.uart_inst), line:42:20, endln:49:16 |vpiParent: @@ -5278,13 +5266,7 @@ design: (work@tb_UART) |vpiParent: \_case_stmt: , line:33:9, endln:64:16 |vpiExpr: - \_ref_obj: (work@tb_UART.uart_inst.DATA), line:50:13, endln:50:17 - |vpiParent: - \_case_item: , line:50:13, endln:59:16 - |vpiName:DATA - |vpiFullName:work@tb_UART.uart_inst.DATA - |vpiActual: - \_parameter: (work@tb_UART.uart_inst.DATA), line:12:36, endln:12:40 + \_constant: , line:50:13, endln:50:17 |vpiStmt: \_begin: (work@tb_UART.uart_inst), line:50:19, endln:59:16 |vpiParent: @@ -5444,13 +5426,7 @@ design: (work@tb_UART) |vpiParent: \_case_stmt: , line:33:9, endln:64:16 |vpiExpr: - \_ref_obj: (work@tb_UART.uart_inst.STOP), line:60:13, endln:60:17 - |vpiParent: - \_case_item: , line:60:13, endln:63:16 - |vpiName:STOP - |vpiFullName:work@tb_UART.uart_inst.STOP - |vpiActual: - \_parameter: (work@tb_UART.uart_inst.STOP), line:12:46, endln:12:50 + \_constant: , line:60:13, endln:60:17 |vpiStmt: \_begin: (work@tb_UART.uart_inst), line:60:19, endln:63:16 |vpiParent: diff --git a/tests/CaseFullElab/CaseFullElab.log b/tests/CaseFullElab/CaseFullElab.log index 6fcfb4c67e..4c29b37b28 100644 --- a/tests/CaseFullElab/CaseFullElab.log +++ b/tests/CaseFullElab/CaseFullElab.log @@ -2023,7 +2023,7 @@ design: (work@FSM) |vpiParent: \_module_inst: work@FSM (work@FSM), file:${SURELOG_DIR}/tests/CaseFullElab/top.sv, line:1:1, endln:42:12 |vpiRhs: - \_constant: , line:9:23, endln:9:30 + \_constant: , line:31:7, endln:31:11 |vpiParent: \_param_assign: , line:9:13, endln:9:30 |vpiDecompile:4'b0000 @@ -2032,7 +2032,7 @@ design: (work@FSM) |vpiTypespec: \_ref_typespec: (work@FSM) |vpiParent: - \_constant: , line:9:23, endln:9:30 + \_constant: , line:31:7, endln:31:11 |vpiFullName:work@FSM |vpiActual: \_int_typespec: , line:9:3, endln:15:30 @@ -2044,7 +2044,7 @@ design: (work@FSM) |vpiParent: \_module_inst: work@FSM (work@FSM), file:${SURELOG_DIR}/tests/CaseFullElab/top.sv, line:1:1, endln:42:12 |vpiRhs: - \_constant: , line:10:23, endln:10:30 + \_constant: , line:32:7, endln:32:11 |vpiParent: \_param_assign: , line:10:13, endln:10:30 |vpiDecompile:4'b0001 @@ -2053,7 +2053,7 @@ design: (work@FSM) |vpiTypespec: \_ref_typespec: (work@FSM) |vpiParent: - \_constant: , line:10:23, endln:10:30 + \_constant: , line:32:7, endln:32:11 |vpiFullName:work@FSM |vpiActual: \_int_typespec: , line:9:3, endln:15:30 @@ -2487,13 +2487,7 @@ design: (work@FSM) |vpiParent: \_case_stmt: , line:22:7, endln:27:14 |vpiExpr: - \_ref_obj: (work@FSM.FSM3.Stop), line:23:7, endln:23:11 - |vpiParent: - \_case_item: , line:23:7, endln:23:29 - |vpiName:Stop - |vpiFullName:work@FSM.FSM3.Stop - |vpiActual: - \_parameter: (work@FSM.Stop), line:9:13, endln:9:17 + \_constant: , line:31:7, endln:31:11 |vpiStmt: \_assignment: , line:23:15, endln:23:28 |vpiParent: @@ -2520,13 +2514,7 @@ design: (work@FSM) |vpiParent: \_case_stmt: , line:22:7, endln:27:14 |vpiExpr: - \_ref_obj: (work@FSM.FSM3.Move), line:24:7, endln:24:11 - |vpiParent: - \_case_item: , line:24:7, endln:24:31 - |vpiName:Move - |vpiFullName:work@FSM.FSM3.Move - |vpiActual: - \_parameter: (work@FSM.Move), line:10:13, endln:10:17 + \_constant: , line:32:7, endln:32:11 |vpiStmt: \_assignment: , line:24:15, endln:24:30 |vpiParent: @@ -2587,13 +2575,7 @@ design: (work@FSM) |vpiParent: \_case_stmt: , line:30:5, endln:35:12 |vpiExpr: - \_ref_obj: (work@FSM.FSM3.Stop), line:31:7, endln:31:11 - |vpiParent: - \_case_item: , line:31:7, endln:31:31 - |vpiName:Stop - |vpiFullName:work@FSM.FSM3.Stop - |vpiActual: - \_parameter: (work@FSM.Stop), line:9:13, endln:9:17 + \_constant: , line:31:7, endln:31:11 |vpiStmt: \_assignment: , line:31:15, endln:31:30 |vpiParent: @@ -2620,13 +2602,7 @@ design: (work@FSM) |vpiParent: \_case_stmt: , line:30:5, endln:35:12 |vpiExpr: - \_ref_obj: (work@FSM.FSM3.Move), line:32:7, endln:32:11 - |vpiParent: - \_case_item: , line:32:7, endln:32:31 - |vpiName:Move - |vpiFullName:work@FSM.FSM3.Move - |vpiActual: - \_parameter: (work@FSM.Move), line:10:13, endln:10:17 + \_constant: , line:32:7, endln:32:11 |vpiStmt: \_assignment: , line:32:15, endln:32:30 |vpiParent: diff --git a/tests/CastToParam/CastToParam.log b/tests/CastToParam/CastToParam.log index 751c4d7f78..287153b1c8 100644 --- a/tests/CastToParam/CastToParam.log +++ b/tests/CastToParam/CastToParam.log @@ -352,25 +352,10 @@ design: (work@top) |vpiParent: \_function: (work@top.get_casted_depth), line:8:4, endln:10:15 |vpiCondition: - \_operation: , line:9:14, endln:9:33 - |vpiParent: - \_return_stmt: , line:9:7, endln:9:13 - |vpiTypespec: - \_ref_typespec: (work@top.get_casted_depth) - |vpiParent: - \_operation: , line:9:14, endln:9:33 - |vpiFullName:work@top.get_casted_depth - |vpiActual: - \_int_typespec: , line:3:14, endln:3:26 - |vpiOpType:67 - |vpiOperand: - \_ref_obj: (work@top.get_casted_depth.Depth), line:9:27, endln:9:32 - |vpiParent: - \_operation: , line:9:14, endln:9:33 - |vpiName:Depth - |vpiFullName:work@top.get_casted_depth.Depth - |vpiActual: - \_parameter: (work@top.Depth), line:2:27, endln:2:32 + \_constant: , line:9:14, endln:9:33 + |vpiSize:64 + |UINT:3 + |vpiConstType:9 |vpiInstance: \_module_inst: work@top (work@top), file:${SURELOG_DIR}/tests/CastToParam/dut.sv, line:1:1, endln:11:10 |vpiTopModule:1 diff --git a/tests/FSM2Always/FSM2Always.log b/tests/FSM2Always/FSM2Always.log index 5f5ab2aae8..fe3b4b2fdc 100644 --- a/tests/FSM2Always/FSM2Always.log +++ b/tests/FSM2Always/FSM2Always.log @@ -2990,7 +2990,7 @@ design: (work@fsm_using_always) |vpiParent: \_module_inst: work@fsm_using_always (work@fsm_using_always), file:${SURELOG_DIR}/tests/FSM2Always/top.sv, line:6:1, endln:91:10 |vpiRhs: - \_constant: , line:24:19, endln:24:25 + \_constant: , line:71:5, endln:71:9 |vpiParent: \_param_assign: , line:24:11, endln:24:25 |vpiDecompile:3'b001 @@ -2999,7 +2999,7 @@ design: (work@fsm_using_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_always) |vpiParent: - \_constant: , line:24:19, endln:24:25 + \_constant: , line:71:5, endln:71:9 |vpiFullName:work@fsm_using_always |vpiActual: \_int_typespec: , line:24:1, endln:24:53 @@ -3011,7 +3011,7 @@ design: (work@fsm_using_always) |vpiParent: \_module_inst: work@fsm_using_always (work@fsm_using_always), file:${SURELOG_DIR}/tests/FSM2Always/top.sv, line:6:1, endln:91:10 |vpiRhs: - \_constant: , line:24:33, endln:24:39 + \_constant: , line:75:4, endln:75:8 |vpiParent: \_param_assign: , line:24:26, endln:24:39 |vpiDecompile:3'b010 @@ -3020,7 +3020,7 @@ design: (work@fsm_using_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_always) |vpiParent: - \_constant: , line:24:33, endln:24:39 + \_constant: , line:75:4, endln:75:8 |vpiFullName:work@fsm_using_always |vpiActual: \_int_typespec: , line:24:1, endln:24:53 @@ -3032,7 +3032,7 @@ design: (work@fsm_using_always) |vpiParent: \_module_inst: work@fsm_using_always (work@fsm_using_always), file:${SURELOG_DIR}/tests/FSM2Always/top.sv, line:6:1, endln:91:10 |vpiRhs: - \_constant: , line:24:47, endln:24:53 + \_constant: , line:79:4, endln:79:8 |vpiParent: \_param_assign: , line:24:40, endln:24:53 |vpiDecompile:3'b100 @@ -3041,7 +3041,7 @@ design: (work@fsm_using_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_always) |vpiParent: - \_constant: , line:24:47, endln:24:53 + \_constant: , line:79:4, endln:79:8 |vpiFullName:work@fsm_using_always |vpiActual: \_int_typespec: , line:24:1, endln:24:53 @@ -3383,13 +3383,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:32:2, endln:51:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.FSM_COMBO.IDLE), line:33:4, endln:33:8 - |vpiParent: - \_case_item: , line:33:4, endln:39:18 - |vpiName:IDLE - |vpiFullName:work@fsm_using_always.FSM_COMBO.IDLE - |vpiActual: - \_parameter: (work@fsm_using_always.IDLE), line:24:11, endln:24:15 + \_constant: , line:71:5, endln:71:9 |vpiStmt: \_if_else: , line:33:11, endln:39:18 |vpiParent: @@ -3514,13 +3508,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:32:2, endln:51:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.FSM_COMBO.GNT0), line:40:4, endln:40:8 - |vpiParent: - \_case_item: , line:40:4, endln:44:18 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_always.FSM_COMBO.GNT0 - |vpiActual: - \_parameter: (work@fsm_using_always.GNT0), line:24:26, endln:24:30 + \_constant: , line:75:4, endln:75:8 |vpiStmt: \_if_else: , line:40:11, endln:44:18 |vpiParent: @@ -3599,13 +3587,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:32:2, endln:51:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.FSM_COMBO.GNT1), line:45:4, endln:45:8 - |vpiParent: - \_case_item: , line:45:4, endln:49:18 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_always.FSM_COMBO.GNT1 - |vpiActual: - \_parameter: (work@fsm_using_always.GNT1), line:24:40, endln:24:44 + \_constant: , line:79:4, endln:79:8 |vpiStmt: \_if_else: , line:45:11, endln:49:18 |vpiParent: @@ -3929,13 +3911,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:70:3, endln:87:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.OUTPUT_LOGIC.IDLE), line:71:5, endln:71:9 - |vpiParent: - \_case_item: , line:71:5, endln:74:19 - |vpiName:IDLE - |vpiFullName:work@fsm_using_always.OUTPUT_LOGIC.IDLE - |vpiActual: - \_parameter: (work@fsm_using_always.IDLE), line:24:11, endln:24:15 + \_constant: , line:71:5, endln:71:9 |vpiStmt: \_begin: (work@fsm_using_always.OUTPUT_LOGIC), line:71:12, endln:74:19 |vpiParent: @@ -3986,13 +3962,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:70:3, endln:87:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.OUTPUT_LOGIC.GNT0), line:75:4, endln:75:8 - |vpiParent: - \_case_item: , line:75:4, endln:78:20 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_always.OUTPUT_LOGIC.GNT0 - |vpiActual: - \_parameter: (work@fsm_using_always.GNT0), line:24:26, endln:24:30 + \_constant: , line:75:4, endln:75:8 |vpiStmt: \_begin: (work@fsm_using_always.OUTPUT_LOGIC), line:75:11, endln:78:20 |vpiParent: @@ -4043,13 +4013,7 @@ design: (work@fsm_using_always) |vpiParent: \_case_stmt: , line:70:3, endln:87:10 |vpiExpr: - \_ref_obj: (work@fsm_using_always.OUTPUT_LOGIC.GNT1), line:79:4, endln:79:8 - |vpiParent: - \_case_item: , line:79:4, endln:82:20 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_always.OUTPUT_LOGIC.GNT1 - |vpiActual: - \_parameter: (work@fsm_using_always.GNT1), line:24:40, endln:24:44 + \_constant: , line:79:4, endln:79:8 |vpiStmt: \_begin: (work@fsm_using_always.OUTPUT_LOGIC), line:79:11, endln:82:20 |vpiParent: diff --git a/tests/FSMBsp13/FSMBsp13.log b/tests/FSMBsp13/FSMBsp13.log index 08c80a6b61..282210ba7e 100644 --- a/tests/FSMBsp13/FSMBsp13.log +++ b/tests/FSMBsp13/FSMBsp13.log @@ -18465,7 +18465,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:7:27, endln:7:28 + \_constant: , line:120:5, endln:120:12 |vpiParent: \_param_assign: , line:7:17, endln:7:28 |vpiDecompile:0 @@ -18474,7 +18474,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:7:27, endln:7:28 + \_constant: , line:120:5, endln:120:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18486,7 +18486,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:7:41, endln:7:42 + \_constant: , line:128:5, endln:128:13 |vpiParent: \_param_assign: , line:7:30, endln:7:42 |vpiDecompile:1 @@ -18495,7 +18495,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:7:41, endln:7:42 + \_constant: , line:128:5, endln:128:13 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18507,7 +18507,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:7:55, endln:7:56 + \_constant: , line:139:5, endln:139:13 |vpiParent: \_param_assign: , line:7:44, endln:7:56 |vpiDecompile:2 @@ -18516,7 +18516,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:7:55, endln:7:56 + \_constant: , line:139:5, endln:139:13 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18528,7 +18528,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:8:26, endln:8:27 + \_constant: , line:31:5, endln:31:11 |vpiParent: \_param_assign: , line:8:17, endln:8:27 |vpiDecompile:3 @@ -18537,7 +18537,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:8:26, endln:8:27 + \_constant: , line:31:5, endln:31:11 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18549,7 +18549,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:8:39, endln:8:40 + \_constant: , line:44:5, endln:44:12 |vpiParent: \_param_assign: , line:8:29, endln:8:40 |vpiDecompile:4 @@ -18558,7 +18558,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:8:39, endln:8:40 + \_constant: , line:44:5, endln:44:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18570,7 +18570,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:8:53, endln:8:54 + \_constant: , line:57:5, endln:57:13 |vpiParent: \_param_assign: , line:8:42, endln:8:54 |vpiDecompile:5 @@ -18579,7 +18579,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:8:53, endln:8:54 + \_constant: , line:57:5, endln:57:13 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18591,7 +18591,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:9:27, endln:9:28 + \_constant: , line:70:5, endln:70:12 |vpiParent: \_param_assign: , line:9:17, endln:9:28 |vpiDecompile:6 @@ -18600,7 +18600,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:9:27, endln:9:28 + \_constant: , line:70:5, endln:70:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18612,7 +18612,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:9:40, endln:9:41 + \_constant: , line:80:5, endln:80:12 |vpiParent: \_param_assign: , line:9:30, endln:9:41 |vpiDecompile:7 @@ -18621,7 +18621,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:9:40, endln:9:41 + \_constant: , line:80:5, endln:80:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18633,7 +18633,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:9:53, endln:9:54 + \_constant: , line:90:5, endln:90:12 |vpiParent: \_param_assign: , line:9:43, endln:9:54 |vpiDecompile:8 @@ -18642,7 +18642,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:9:53, endln:9:54 + \_constant: , line:90:5, endln:90:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18654,7 +18654,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:10:27, endln:10:28 + \_constant: , line:100:5, endln:100:12 |vpiParent: \_param_assign: , line:10:17, endln:10:28 |vpiDecompile:9 @@ -18663,7 +18663,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:10:27, endln:10:28 + \_constant: , line:100:5, endln:100:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -18675,7 +18675,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM1 (work@top.F1), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:11:1, endln:15:21 |vpiRhs: - \_constant: , line:10:40, endln:10:42 + \_constant: , line:110:5, endln:110:12 |vpiParent: \_param_assign: , line:10:30, endln:10:42 |vpiDecompile:10 @@ -18684,7 +18684,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F1) |vpiParent: - \_constant: , line:10:40, endln:10:42 + \_constant: , line:110:5, endln:110:12 |vpiFullName:work@top.F1 |vpiActual: \_int_typespec: , line:7:11, endln:7:16 @@ -19171,13 +19171,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Trx), line:31:5, endln:31:11 - |vpiParent: - \_case_item: , line:31:5, endln:42:8 - |vpiName:ST_Trx - |vpiFullName:work@top.F1.COMB.ST_Trx - |vpiActual: - \_parameter: (work@top.F1.ST_Trx), line:8:17, endln:8:23 + \_constant: , line:31:5, endln:31:11 |vpiStmt: \_begin: (work@top.F1.COMB), line:31:13, endln:42:8 |vpiParent: @@ -19523,13 +19517,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Hold), line:44:5, endln:44:12 - |vpiParent: - \_case_item: , line:44:5, endln:55:8 - |vpiName:ST_Hold - |vpiFullName:work@top.F1.COMB.ST_Hold - |vpiActual: - \_parameter: (work@top.F1.ST_Hold), line:8:29, endln:8:36 + \_constant: , line:44:5, endln:44:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:44:14, endln:55:8 |vpiParent: @@ -19875,13 +19863,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Block), line:57:5, endln:57:13 - |vpiParent: - \_case_item: , line:57:5, endln:68:8 - |vpiName:ST_Block - |vpiFullName:work@top.F1.COMB.ST_Block - |vpiActual: - \_parameter: (work@top.F1.ST_Block), line:8:42, endln:8:50 + \_constant: , line:57:5, endln:57:13 |vpiStmt: \_begin: (work@top.F1.COMB), line:57:15, endln:68:8 |vpiParent: @@ -20227,13 +20209,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Wait), line:70:5, endln:70:12 - |vpiParent: - \_case_item: , line:70:5, endln:78:8 - |vpiName:ST_Wait - |vpiFullName:work@top.F1.COMB.ST_Wait - |vpiActual: - \_parameter: (work@top.F1.ST_Wait), line:9:17, endln:9:24 + \_constant: , line:70:5, endln:70:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:70:14, endln:78:8 |vpiParent: @@ -20456,13 +20432,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Turn), line:80:5, endln:80:12 - |vpiParent: - \_case_item: , line:80:5, endln:88:8 - |vpiName:ST_Turn - |vpiFullName:work@top.F1.COMB.ST_Turn - |vpiActual: - \_parameter: (work@top.F1.ST_Turn), line:9:30, endln:9:37 + \_constant: , line:80:5, endln:80:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:80:14, endln:88:8 |vpiParent: @@ -20685,13 +20655,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Quit), line:90:5, endln:90:12 - |vpiParent: - \_case_item: , line:90:5, endln:98:8 - |vpiName:ST_Quit - |vpiFullName:work@top.F1.COMB.ST_Quit - |vpiActual: - \_parameter: (work@top.F1.ST_Quit), line:9:43, endln:9:50 + \_constant: , line:90:5, endln:90:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:90:14, endln:98:8 |vpiParent: @@ -20914,13 +20878,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Exit), line:100:5, endln:100:12 - |vpiParent: - \_case_item: , line:100:5, endln:108:8 - |vpiName:ST_Exit - |vpiFullName:work@top.F1.COMB.ST_Exit - |vpiActual: - \_parameter: (work@top.F1.ST_Exit), line:10:17, endln:10:24 + \_constant: , line:100:5, endln:100:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:100:14, endln:108:8 |vpiParent: @@ -21143,13 +21101,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Done), line:110:5, endln:110:12 - |vpiParent: - \_case_item: , line:110:5, endln:118:8 - |vpiName:ST_Done - |vpiFullName:work@top.F1.COMB.ST_Done - |vpiActual: - \_parameter: (work@top.F1.ST_Done), line:10:30, endln:10:37 + \_constant: , line:110:5, endln:110:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:110:14, endln:118:8 |vpiParent: @@ -21372,13 +21324,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Read), line:120:5, endln:120:12 - |vpiParent: - \_case_item: , line:120:5, endln:126:8 - |vpiName:ST_Read - |vpiFullName:work@top.F1.COMB.ST_Read - |vpiActual: - \_parameter: (work@top.F1.ST_Read), line:7:17, endln:7:24 + \_constant: , line:120:5, endln:120:12 |vpiStmt: \_begin: (work@top.F1.COMB), line:120:14, endln:126:8 |vpiParent: @@ -21497,13 +21443,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Write), line:128:5, endln:128:13 - |vpiParent: - \_case_item: , line:128:5, endln:137:8 - |vpiName:ST_Write - |vpiFullName:work@top.F1.COMB.ST_Write - |vpiActual: - \_parameter: (work@top.F1.ST_Write), line:7:30, endln:7:38 + \_constant: , line:128:5, endln:128:13 |vpiStmt: \_begin: (work@top.F1.COMB), line:128:15, endln:137:8 |vpiParent: @@ -21656,13 +21596,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:29:3, endln:155:10 |vpiExpr: - \_ref_obj: (work@top.F1.COMB.ST_Delay), line:139:5, endln:139:13 - |vpiParent: - \_case_item: , line:139:5, endln:145:8 - |vpiName:ST_Delay - |vpiFullName:work@top.F1.COMB.ST_Delay - |vpiActual: - \_parameter: (work@top.F1.ST_Delay), line:7:44, endln:7:52 + \_constant: , line:139:5, endln:139:13 |vpiStmt: \_begin: (work@top.F1.COMB), line:139:15, endln:145:8 |vpiParent: @@ -22059,7 +21993,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:22, endln:6:23 + \_constant: , line:126:5, endln:126:8 |vpiParent: \_param_assign: , line:6:17, endln:6:23 |vpiDecompile:0 @@ -22068,7 +22002,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:22, endln:6:23 + \_constant: , line:126:5, endln:126:8 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22080,7 +22014,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:29, endln:6:30 + \_constant: , line:127:5, endln:127:8 |vpiParent: \_param_assign: , line:6:25, endln:6:30 |vpiDecompile:1 @@ -22089,7 +22023,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:29, endln:6:30 + \_constant: , line:127:5, endln:127:8 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22101,7 +22035,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:36, endln:6:37 + \_constant: , line:128:5, endln:128:8 |vpiParent: \_param_assign: , line:6:32, endln:6:37 |vpiDecompile:2 @@ -22110,7 +22044,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:36, endln:6:37 + \_constant: , line:128:5, endln:128:8 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22122,7 +22056,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:43, endln:6:44 + \_constant: , line:129:5, endln:129:8 |vpiParent: \_param_assign: , line:6:39, endln:6:44 |vpiDecompile:3 @@ -22131,7 +22065,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:43, endln:6:44 + \_constant: , line:129:5, endln:129:8 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22143,7 +22077,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:50, endln:6:51 + \_constant: , line:61:4, endln:61:7 |vpiParent: \_param_assign: , line:6:46, endln:6:51 |vpiDecompile:4 @@ -22152,7 +22086,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:50, endln:6:51 + \_constant: , line:61:4, endln:61:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22164,7 +22098,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:57, endln:6:58 + \_constant: , line:66:4, endln:66:7 |vpiParent: \_param_assign: , line:6:53, endln:6:58 |vpiDecompile:5 @@ -22173,7 +22107,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:57, endln:6:58 + \_constant: , line:66:4, endln:66:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22185,7 +22119,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:64, endln:6:65 + \_constant: , line:71:4, endln:71:7 |vpiParent: \_param_assign: , line:6:60, endln:6:65 |vpiDecompile:6 @@ -22194,7 +22128,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:64, endln:6:65 + \_constant: , line:71:4, endln:71:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22206,7 +22140,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:6:70, endln:6:71 + \_constant: , line:76:4, endln:76:7 |vpiParent: \_param_assign: , line:6:66, endln:6:71 |vpiDecompile:7 @@ -22215,7 +22149,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:6:70, endln:6:71 + \_constant: , line:76:4, endln:76:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22227,7 +22161,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:7:21, endln:7:22 + \_constant: , line:88:4, endln:88:7 |vpiParent: \_param_assign: , line:7:17, endln:7:22 |vpiDecompile:8 @@ -22236,7 +22170,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:7:21, endln:7:22 + \_constant: , line:88:4, endln:88:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22248,7 +22182,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:7:28, endln:7:29 + \_constant: , line:100:4, endln:100:7 |vpiParent: \_param_assign: , line:7:24, endln:7:29 |vpiDecompile:9 @@ -22257,7 +22191,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:7:28, endln:7:29 + \_constant: , line:100:4, endln:100:7 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22269,7 +22203,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM2 (work@top.F2), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:17:1, endln:20:22 |vpiRhs: - \_constant: , line:7:36, endln:7:38 + \_constant: , line:106:4, endln:106:8 |vpiParent: \_param_assign: , line:7:31, endln:7:38 |vpiDecompile:10 @@ -22278,7 +22212,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F2) |vpiParent: - \_constant: , line:7:36, endln:7:38 + \_constant: , line:106:4, endln:106:8 |vpiFullName:work@top.F2 |vpiActual: \_int_typespec: , line:6:11, endln:6:16 @@ -22992,13 +22926,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST0), line:39:5, endln:39:8 - |vpiParent: - \_case_item: , line:39:5, endln:42:8 - |vpiName:ST0 - |vpiFullName:work@top.F2.COMB.ST0 - |vpiActual: - \_parameter: (work@top.F2.ST0), line:6:17, endln:6:20 + \_constant: , line:126:5, endln:126:8 |vpiStmt: \_begin: (work@top.F2.COMB), line:39:9, endln:42:8 |vpiParent: @@ -23038,13 +22966,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST1), line:44:5, endln:44:8 - |vpiParent: - \_case_item: , line:44:5, endln:50:8 - |vpiName:ST1 - |vpiFullName:work@top.F2.COMB.ST1 - |vpiActual: - \_parameter: (work@top.F2.ST1), line:6:25, endln:6:28 + \_constant: , line:127:5, endln:127:8 |vpiStmt: \_begin: (work@top.F2.COMB), line:44:9, endln:50:8 |vpiParent: @@ -23118,13 +23040,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST2), line:52:5, endln:52:8 - |vpiParent: - \_case_item: , line:52:5, endln:55:8 - |vpiName:ST2 - |vpiFullName:work@top.F2.COMB.ST2 - |vpiActual: - \_parameter: (work@top.F2.ST2), line:6:32, endln:6:35 + \_constant: , line:128:5, endln:128:8 |vpiStmt: \_begin: (work@top.F2.COMB), line:52:9, endln:55:8 |vpiParent: @@ -23164,13 +23080,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST3), line:57:5, endln:57:8 - |vpiParent: - \_case_item: , line:57:5, endln:59:8 - |vpiName:ST3 - |vpiFullName:work@top.F2.COMB.ST3 - |vpiActual: - \_parameter: (work@top.F2.ST3), line:6:39, endln:6:42 + \_constant: , line:129:5, endln:129:8 |vpiStmt: \_begin: (work@top.F2.COMB), line:57:9, endln:59:8 |vpiParent: @@ -23203,13 +23113,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST4), line:61:4, endln:61:7 - |vpiParent: - \_case_item: , line:61:4, endln:64:7 - |vpiName:ST4 - |vpiFullName:work@top.F2.COMB.ST4 - |vpiActual: - \_parameter: (work@top.F2.ST4), line:6:46, endln:6:49 + \_constant: , line:61:4, endln:61:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:61:8, endln:64:7 |vpiParent: @@ -23249,13 +23153,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST5), line:66:4, endln:66:7 - |vpiParent: - \_case_item: , line:66:4, endln:69:7 - |vpiName:ST5 - |vpiFullName:work@top.F2.COMB.ST5 - |vpiActual: - \_parameter: (work@top.F2.ST5), line:6:53, endln:6:56 + \_constant: , line:66:4, endln:66:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:66:8, endln:69:7 |vpiParent: @@ -23295,13 +23193,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST6), line:71:4, endln:71:7 - |vpiParent: - \_case_item: , line:71:4, endln:74:7 - |vpiName:ST6 - |vpiFullName:work@top.F2.COMB.ST6 - |vpiActual: - \_parameter: (work@top.F2.ST6), line:6:60, endln:6:63 + \_constant: , line:71:4, endln:71:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:71:8, endln:74:7 |vpiParent: @@ -23341,13 +23233,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST7), line:76:4, endln:76:7 - |vpiParent: - \_case_item: , line:76:4, endln:86:7 - |vpiName:ST7 - |vpiFullName:work@top.F2.COMB.ST7 - |vpiActual: - \_parameter: (work@top.F2.ST7), line:6:66, endln:6:69 + \_constant: , line:76:4, endln:76:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:76:8, endln:86:7 |vpiParent: @@ -23625,13 +23511,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST8), line:88:4, endln:88:7 - |vpiParent: - \_case_item: , line:88:4, endln:98:7 - |vpiName:ST8 - |vpiFullName:work@top.F2.COMB.ST8 - |vpiActual: - \_parameter: (work@top.F2.ST8), line:7:17, endln:7:20 + \_constant: , line:88:4, endln:88:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:88:8, endln:98:7 |vpiParent: @@ -23924,13 +23804,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST9), line:100:4, endln:100:7 - |vpiParent: - \_case_item: , line:100:4, endln:104:7 - |vpiName:ST9 - |vpiFullName:work@top.F2.COMB.ST9 - |vpiActual: - \_parameter: (work@top.F2.ST9), line:7:24, endln:7:27 + \_constant: , line:100:4, endln:100:7 |vpiStmt: \_begin: (work@top.F2.COMB), line:100:8, endln:104:7 |vpiParent: @@ -24004,13 +23878,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:38:3, endln:112:10 |vpiExpr: - \_ref_obj: (work@top.F2.COMB.ST10), line:106:4, endln:106:8 - |vpiParent: - \_case_item: , line:106:4, endln:110:7 - |vpiName:ST10 - |vpiFullName:work@top.F2.COMB.ST10 - |vpiActual: - \_parameter: (work@top.F2.ST10), line:7:31, endln:7:35 + \_constant: , line:106:4, endln:106:8 |vpiStmt: \_begin: (work@top.F2.COMB), line:106:9, endln:110:7 |vpiParent: @@ -24222,13 +24090,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:125:3, endln:131:10 |vpiExpr: - \_ref_obj: (work@top.F2.OUT_LOGIC.ST0), line:126:5, endln:126:8 - |vpiParent: - \_case_item: , line:126:5, endln:126:14 - |vpiName:ST0 - |vpiFullName:work@top.F2.OUT_LOGIC.ST0 - |vpiActual: - \_parameter: (work@top.F2.ST0), line:6:17, endln:6:20 + \_constant: , line:126:5, endln:126:8 |vpiStmt: \_assignment: , line:126:10, endln:126:13 |vpiParent: @@ -24250,13 +24112,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:125:3, endln:131:10 |vpiExpr: - \_ref_obj: (work@top.F2.OUT_LOGIC.ST1), line:127:5, endln:127:8 - |vpiParent: - \_case_item: , line:127:5, endln:127:14 - |vpiName:ST1 - |vpiFullName:work@top.F2.OUT_LOGIC.ST1 - |vpiActual: - \_parameter: (work@top.F2.ST1), line:6:25, endln:6:28 + \_constant: , line:127:5, endln:127:8 |vpiStmt: \_assignment: , line:127:10, endln:127:13 |vpiParent: @@ -24278,13 +24134,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:125:3, endln:131:10 |vpiExpr: - \_ref_obj: (work@top.F2.OUT_LOGIC.ST2), line:128:5, endln:128:8 - |vpiParent: - \_case_item: , line:128:5, endln:128:14 - |vpiName:ST2 - |vpiFullName:work@top.F2.OUT_LOGIC.ST2 - |vpiActual: - \_parameter: (work@top.F2.ST2), line:6:32, endln:6:35 + \_constant: , line:128:5, endln:128:8 |vpiStmt: \_assignment: , line:128:10, endln:128:13 |vpiParent: @@ -24306,13 +24156,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:125:3, endln:131:10 |vpiExpr: - \_ref_obj: (work@top.F2.OUT_LOGIC.ST3), line:129:5, endln:129:8 - |vpiParent: - \_case_item: , line:129:5, endln:129:14 - |vpiName:ST3 - |vpiFullName:work@top.F2.OUT_LOGIC.ST3 - |vpiActual: - \_parameter: (work@top.F2.ST3), line:6:39, endln:6:42 + \_constant: , line:129:5, endln:129:8 |vpiStmt: \_assignment: , line:129:10, endln:129:13 |vpiParent: @@ -24459,7 +24303,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:8:21, endln:8:28 + \_constant: , line:37:7, endln:37:11 |vpiParent: \_param_assign: , line:8:11, endln:8:28 |vpiDecompile:4'b0000 @@ -24468,7 +24312,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:8:21, endln:8:28 + \_constant: , line:37:7, endln:37:11 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24480,7 +24324,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:9:21, endln:9:28 + \_constant: , line:38:7, endln:38:11 |vpiParent: \_param_assign: , line:9:11, endln:9:28 |vpiDecompile:4'b0001 @@ -24489,7 +24333,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:9:21, endln:9:28 + \_constant: , line:38:7, endln:38:11 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24501,7 +24345,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:10:21, endln:10:28 + \_constant: , line:39:7, endln:39:11 |vpiParent: \_param_assign: , line:10:11, endln:10:28 |vpiDecompile:4'b0010 @@ -24510,7 +24354,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:10:21, endln:10:28 + \_constant: , line:39:7, endln:39:11 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24522,7 +24366,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:11:21, endln:11:28 + \_constant: , line:40:7, endln:40:11 |vpiParent: \_param_assign: , line:11:11, endln:11:28 |vpiDecompile:4'b0011 @@ -24531,7 +24375,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:11:21, endln:11:28 + \_constant: , line:40:7, endln:40:11 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24543,7 +24387,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:12:21, endln:12:28 + \_constant: , line:41:7, endln:41:13 |vpiParent: \_param_assign: , line:12:11, endln:12:28 |vpiDecompile:4'b0100 @@ -24552,7 +24396,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:12:21, endln:12:28 + \_constant: , line:41:7, endln:41:13 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24564,7 +24408,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:13:21, endln:13:28 + \_constant: , line:42:7, endln:42:11 |vpiParent: \_param_assign: , line:13:11, endln:13:28 |vpiDecompile:4'b0101 @@ -24573,7 +24417,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:13:21, endln:13:28 + \_constant: , line:42:7, endln:42:11 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -24585,7 +24429,7 @@ design: (work@top) |vpiParent: \_module_inst: work@FSM3 (work@top.F3), file:${SURELOG_DIR}/tests/FSMBsp13/top.v, line:22:1, endln:26:24 |vpiRhs: - \_constant: , line:14:21, endln:14:28 + \_constant: , line:43:7, endln:43:13 |vpiParent: \_param_assign: , line:14:11, endln:14:28 |vpiDecompile:4'b0110 @@ -24594,7 +24438,7 @@ design: (work@top) |vpiTypespec: \_ref_typespec: (work@top.F3) |vpiParent: - \_constant: , line:14:21, endln:14:28 + \_constant: , line:43:7, endln:43:13 |vpiFullName:work@top.F3 |vpiActual: \_int_typespec: , line:8:1, endln:14:28 @@ -25040,13 +24884,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Stop), line:27:7, endln:27:11 - |vpiParent: - \_case_item: , line:27:7, endln:27:28 - |vpiName:Stop - |vpiFullName:work@top.F3.FSM3.Stop - |vpiActual: - \_parameter: (work@top.F3.Stop), line:8:11, endln:8:15 + \_constant: , line:37:7, endln:37:11 |vpiStmt: \_assignment: , line:27:15, endln:27:27 |vpiParent: @@ -25074,13 +24912,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Move), line:28:7, endln:28:11 - |vpiParent: - \_case_item: , line:28:7, endln:28:30 - |vpiName:Move - |vpiFullName:work@top.F3.FSM3.Move - |vpiActual: - \_parameter: (work@top.F3.Move), line:9:11, endln:9:15 + \_constant: , line:38:7, endln:38:11 |vpiStmt: \_assignment: , line:28:15, endln:28:29 |vpiParent: @@ -25108,13 +24940,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Turn), line:29:7, endln:29:11 - |vpiParent: - \_case_item: , line:29:7, endln:29:28 - |vpiName:Turn - |vpiFullName:work@top.F3.FSM3.Turn - |vpiActual: - \_parameter: (work@top.F3.Turn), line:10:11, endln:10:15 + \_constant: , line:39:7, endln:39:11 |vpiStmt: \_assignment: , line:29:15, endln:29:27 |vpiParent: @@ -25142,13 +24968,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Slow), line:30:7, endln:30:11 - |vpiParent: - \_case_item: , line:30:7, endln:30:30 - |vpiName:Slow - |vpiFullName:work@top.F3.FSM3.Slow - |vpiActual: - \_parameter: (work@top.F3.Slow), line:11:11, endln:11:15 + \_constant: , line:40:7, endln:40:11 |vpiStmt: \_assignment: , line:30:15, endln:30:29 |vpiParent: @@ -25176,13 +24996,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Medium), line:31:7, endln:31:13 - |vpiParent: - \_case_item: , line:31:7, endln:31:28 - |vpiName:Medium - |vpiFullName:work@top.F3.FSM3.Medium - |vpiActual: - \_parameter: (work@top.F3.Medium), line:12:11, endln:12:17 + \_constant: , line:41:7, endln:41:13 |vpiStmt: \_assignment: , line:31:15, endln:31:27 |vpiParent: @@ -25210,13 +25024,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Fast), line:32:7, endln:32:11 - |vpiParent: - \_case_item: , line:32:7, endln:32:28 - |vpiName:Fast - |vpiFullName:work@top.F3.FSM3.Fast - |vpiActual: - \_parameter: (work@top.F3.Fast), line:13:11, endln:13:15 + \_constant: , line:42:7, endln:42:11 |vpiStmt: \_assignment: , line:32:15, endln:32:27 |vpiParent: @@ -25244,13 +25052,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:26:5, endln:34:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Faster), line:33:7, endln:33:13 - |vpiParent: - \_case_item: , line:33:7, endln:33:28 - |vpiName:Faster - |vpiFullName:work@top.F3.FSM3.Faster - |vpiActual: - \_parameter: (work@top.F3.Faster), line:14:11, endln:14:17 + \_constant: , line:43:7, endln:43:13 |vpiStmt: \_assignment: , line:33:15, endln:33:27 |vpiParent: @@ -25303,13 +25105,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Stop), line:37:7, endln:37:11 - |vpiParent: - \_case_item: , line:37:7, endln:37:30 - |vpiName:Stop - |vpiFullName:work@top.F3.FSM3.Stop - |vpiActual: - \_parameter: (work@top.F3.Stop), line:8:11, endln:8:15 + \_constant: , line:37:7, endln:37:11 |vpiStmt: \_assignment: , line:37:15, endln:37:29 |vpiParent: @@ -25337,13 +25133,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Move), line:38:7, endln:38:11 - |vpiParent: - \_case_item: , line:38:7, endln:38:30 - |vpiName:Move - |vpiFullName:work@top.F3.FSM3.Move - |vpiActual: - \_parameter: (work@top.F3.Move), line:9:11, endln:9:15 + \_constant: , line:38:7, endln:38:11 |vpiStmt: \_assignment: , line:38:15, endln:38:29 |vpiParent: @@ -25371,13 +25161,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Turn), line:39:7, endln:39:11 - |vpiParent: - \_case_item: , line:39:7, endln:39:28 - |vpiName:Turn - |vpiFullName:work@top.F3.FSM3.Turn - |vpiActual: - \_parameter: (work@top.F3.Turn), line:10:11, endln:10:15 + \_constant: , line:39:7, endln:39:11 |vpiStmt: \_assignment: , line:39:15, endln:39:27 |vpiParent: @@ -25405,13 +25189,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Slow), line:40:7, endln:40:11 - |vpiParent: - \_case_item: , line:40:7, endln:40:28 - |vpiName:Slow - |vpiFullName:work@top.F3.FSM3.Slow - |vpiActual: - \_parameter: (work@top.F3.Slow), line:11:11, endln:11:15 + \_constant: , line:40:7, endln:40:11 |vpiStmt: \_assignment: , line:40:15, endln:40:27 |vpiParent: @@ -25439,13 +25217,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Medium), line:41:7, endln:41:13 - |vpiParent: - \_case_item: , line:41:7, endln:41:28 - |vpiName:Medium - |vpiFullName:work@top.F3.FSM3.Medium - |vpiActual: - \_parameter: (work@top.F3.Medium), line:12:11, endln:12:17 + \_constant: , line:41:7, endln:41:13 |vpiStmt: \_assignment: , line:41:15, endln:41:27 |vpiParent: @@ -25473,13 +25245,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Fast), line:42:7, endln:42:11 - |vpiParent: - \_case_item: , line:42:7, endln:42:30 - |vpiName:Fast - |vpiFullName:work@top.F3.FSM3.Fast - |vpiActual: - \_parameter: (work@top.F3.Fast), line:13:11, endln:13:15 + \_constant: , line:42:7, endln:42:11 |vpiStmt: \_assignment: , line:42:15, endln:42:29 |vpiParent: @@ -25507,13 +25273,7 @@ design: (work@top) |vpiParent: \_case_stmt: , line:36:5, endln:44:12 |vpiExpr: - \_ref_obj: (work@top.F3.FSM3.Faster), line:43:7, endln:43:13 - |vpiParent: - \_case_item: , line:43:7, endln:43:28 - |vpiName:Faster - |vpiFullName:work@top.F3.FSM3.Faster - |vpiActual: - \_parameter: (work@top.F3.Faster), line:14:11, endln:14:17 + \_constant: , line:43:7, endln:43:13 |vpiStmt: \_assignment: , line:43:15, endln:43:27 |vpiParent: diff --git a/tests/FSMFunction/FSMFunction.log b/tests/FSMFunction/FSMFunction.log index c261b7d7b6..12a343268c 100644 --- a/tests/FSMFunction/FSMFunction.log +++ b/tests/FSMFunction/FSMFunction.log @@ -3089,7 +3089,7 @@ design: (work@fsm_using_function) |vpiParent: \_module_inst: work@fsm_using_function (work@fsm_using_function), file:${SURELOG_DIR}/tests/FSMFunction/top.sv, line:6:1, endln:94:10 |vpiRhs: - \_constant: , line:24:19, endln:24:25 + \_constant: , line:74:5, endln:74:9 |vpiParent: \_param_assign: , line:24:11, endln:24:25 |vpiDecompile:3'b001 @@ -3098,7 +3098,7 @@ design: (work@fsm_using_function) |vpiTypespec: \_ref_typespec: (work@fsm_using_function) |vpiParent: - \_constant: , line:24:19, endln:24:25 + \_constant: , line:74:5, endln:74:9 |vpiFullName:work@fsm_using_function |vpiActual: \_logic_typespec: @@ -3110,7 +3110,7 @@ design: (work@fsm_using_function) |vpiParent: \_module_inst: work@fsm_using_function (work@fsm_using_function), file:${SURELOG_DIR}/tests/FSMFunction/top.sv, line:6:1, endln:94:10 |vpiRhs: - \_constant: , line:24:33, endln:24:39 + \_constant: , line:78:4, endln:78:8 |vpiParent: \_param_assign: , line:24:26, endln:24:39 |vpiDecompile:3'b010 @@ -3119,7 +3119,7 @@ design: (work@fsm_using_function) |vpiTypespec: \_ref_typespec: (work@fsm_using_function) |vpiParent: - \_constant: , line:24:33, endln:24:39 + \_constant: , line:78:4, endln:78:8 |vpiFullName:work@fsm_using_function |vpiActual: \_int_typespec: , line:24:1, endln:24:53 @@ -3131,7 +3131,7 @@ design: (work@fsm_using_function) |vpiParent: \_module_inst: work@fsm_using_function (work@fsm_using_function), file:${SURELOG_DIR}/tests/FSMFunction/top.sv, line:6:1, endln:94:10 |vpiRhs: - \_constant: , line:24:47, endln:24:53 + \_constant: , line:82:4, endln:82:8 |vpiParent: \_param_assign: , line:24:40, endln:24:53 |vpiDecompile:3'b100 @@ -3140,7 +3140,7 @@ design: (work@fsm_using_function) |vpiTypespec: \_ref_typespec: (work@fsm_using_function) |vpiParent: - \_constant: , line:24:47, endln:24:53 + \_constant: , line:82:4, endln:82:8 |vpiFullName:work@fsm_using_function |vpiActual: \_int_typespec: , line:24:1, endln:24:53 @@ -3215,13 +3215,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:35:3, endln:54:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.IDLE), line:36:4, endln:36:8 - |vpiParent: - \_case_item: , line:36:4, endln:42:18 - |vpiName:IDLE - |vpiFullName:work@fsm_using_function.fsm_function.IDLE - |vpiActual: - \_parameter: (work@fsm_using_function.IDLE), line:24:11, endln:24:15 + \_constant: , line:74:5, endln:74:9 |vpiStmt: \_if_else: , line:36:11, endln:42:18 |vpiParent: @@ -3346,13 +3340,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:35:3, endln:54:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.GNT0), line:43:4, endln:43:8 - |vpiParent: - \_case_item: , line:43:4, endln:47:18 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_function.fsm_function.GNT0 - |vpiActual: - \_parameter: (work@fsm_using_function.GNT0), line:24:26, endln:24:30 + \_constant: , line:78:4, endln:78:8 |vpiStmt: \_if_else: , line:43:11, endln:47:18 |vpiParent: @@ -3431,13 +3419,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:35:3, endln:54:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.GNT1), line:48:4, endln:48:8 - |vpiParent: - \_case_item: , line:48:4, endln:52:18 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_function.fsm_function.GNT1 - |vpiActual: - \_parameter: (work@fsm_using_function.GNT1), line:24:40, endln:24:44 + \_constant: , line:82:4, endln:82:8 |vpiStmt: \_if_else: , line:48:11, endln:52:18 |vpiParent: @@ -4013,13 +3995,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:73:3, endln:90:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.OUTPUT_LOGIC.IDLE), line:74:5, endln:74:9 - |vpiParent: - \_case_item: , line:74:5, endln:77:19 - |vpiName:IDLE - |vpiFullName:work@fsm_using_function.OUTPUT_LOGIC.IDLE - |vpiActual: - \_parameter: (work@fsm_using_function.IDLE), line:24:11, endln:24:15 + \_constant: , line:74:5, endln:74:9 |vpiStmt: \_begin: (work@fsm_using_function.OUTPUT_LOGIC), line:74:12, endln:77:19 |vpiParent: @@ -4070,13 +4046,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:73:3, endln:90:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.OUTPUT_LOGIC.GNT0), line:78:4, endln:78:8 - |vpiParent: - \_case_item: , line:78:4, endln:81:20 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_function.OUTPUT_LOGIC.GNT0 - |vpiActual: - \_parameter: (work@fsm_using_function.GNT0), line:24:26, endln:24:30 + \_constant: , line:78:4, endln:78:8 |vpiStmt: \_begin: (work@fsm_using_function.OUTPUT_LOGIC), line:78:11, endln:81:20 |vpiParent: @@ -4127,13 +4097,7 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:73:3, endln:90:10 |vpiExpr: - \_ref_obj: (work@fsm_using_function.OUTPUT_LOGIC.GNT1), line:82:4, endln:82:8 - |vpiParent: - \_case_item: , line:82:4, endln:85:20 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_function.OUTPUT_LOGIC.GNT1 - |vpiActual: - \_parameter: (work@fsm_using_function.GNT1), line:24:40, endln:24:44 + \_constant: , line:82:4, endln:82:8 |vpiStmt: \_begin: (work@fsm_using_function.OUTPUT_LOGIC), line:82:11, endln:85:20 |vpiParent: diff --git a/tests/FSMSingleAlways/FSMSingleAlways.log b/tests/FSMSingleAlways/FSMSingleAlways.log index 76ff036562..54951a6327 100644 --- a/tests/FSMSingleAlways/FSMSingleAlways.log +++ b/tests/FSMSingleAlways/FSMSingleAlways.log @@ -2424,7 +2424,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_module_inst: work@fsm_using_single_always (work@fsm_using_single_always), file:${SURELOG_DIR}/tests/FSMSingleAlways/top.sv, line:7:1, endln:63:10 |vpiRhs: - \_constant: , line:25:19, endln:25:25 + \_constant: , line:38:4, endln:38:8 |vpiParent: \_param_assign: , line:25:11, endln:25:25 |vpiDecompile:3'b001 @@ -2433,7 +2433,7 @@ design: (work@fsm_using_single_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_single_always) |vpiParent: - \_constant: , line:25:19, endln:25:25 + \_constant: , line:38:4, endln:38:8 |vpiFullName:work@fsm_using_single_always |vpiActual: \_int_typespec: , line:25:1, endln:25:53 @@ -2445,7 +2445,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_module_inst: work@fsm_using_single_always (work@fsm_using_single_always), file:${SURELOG_DIR}/tests/FSMSingleAlways/top.sv, line:7:1, endln:63:10 |vpiRhs: - \_constant: , line:25:33, endln:25:39 + \_constant: , line:47:4, endln:47:8 |vpiParent: \_param_assign: , line:25:26, endln:25:39 |vpiDecompile:3'b010 @@ -2454,7 +2454,7 @@ design: (work@fsm_using_single_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_single_always) |vpiParent: - \_constant: , line:25:33, endln:25:39 + \_constant: , line:47:4, endln:47:8 |vpiFullName:work@fsm_using_single_always |vpiActual: \_int_typespec: , line:25:1, endln:25:53 @@ -2466,7 +2466,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_module_inst: work@fsm_using_single_always (work@fsm_using_single_always), file:${SURELOG_DIR}/tests/FSMSingleAlways/top.sv, line:7:1, endln:63:10 |vpiRhs: - \_constant: , line:25:47, endln:25:53 + \_constant: , line:53:4, endln:53:8 |vpiParent: \_param_assign: , line:25:40, endln:25:53 |vpiDecompile:3'b100 @@ -2475,7 +2475,7 @@ design: (work@fsm_using_single_always) |vpiTypespec: \_ref_typespec: (work@fsm_using_single_always) |vpiParent: - \_constant: , line:25:47, endln:25:53 + \_constant: , line:53:4, endln:53:8 |vpiFullName:work@fsm_using_single_always |vpiActual: \_int_typespec: , line:25:1, endln:25:53 @@ -2860,13 +2860,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_case_stmt: , line:37:2, endln:60:8 |vpiExpr: - \_ref_obj: (work@fsm_using_single_always.FSM.IDLE), line:38:4, endln:38:8 - |vpiParent: - \_case_item: , line:38:4, endln:46:18 - |vpiName:IDLE - |vpiFullName:work@fsm_using_single_always.FSM.IDLE - |vpiActual: - \_parameter: (work@fsm_using_single_always.IDLE), line:25:11, endln:25:15 + \_constant: , line:38:4, endln:38:8 |vpiStmt: \_if_else: , line:38:11, endln:46:18 |vpiParent: @@ -3033,13 +3027,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_case_stmt: , line:37:2, endln:60:8 |vpiExpr: - \_ref_obj: (work@fsm_using_single_always.FSM.GNT0), line:47:4, endln:47:8 - |vpiParent: - \_case_item: , line:47:4, endln:52:18 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_single_always.FSM.GNT0 - |vpiActual: - \_parameter: (work@fsm_using_single_always.GNT0), line:25:26, endln:25:30 + \_constant: , line:47:4, endln:47:8 |vpiStmt: \_if_else: , line:47:11, endln:52:18 |vpiParent: @@ -3141,13 +3129,7 @@ design: (work@fsm_using_single_always) |vpiParent: \_case_stmt: , line:37:2, endln:60:8 |vpiExpr: - \_ref_obj: (work@fsm_using_single_always.FSM.GNT1), line:53:4, endln:53:8 - |vpiParent: - \_case_item: , line:53:4, endln:58:18 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_single_always.FSM.GNT1 - |vpiActual: - \_parameter: (work@fsm_using_single_always.GNT1), line:25:40, endln:25:44 + \_constant: , line:53:4, endln:53:8 |vpiStmt: \_if_else: , line:53:11, endln:58:18 |vpiParent: diff --git a/tests/FuncBinding/FuncBinding.log b/tests/FuncBinding/FuncBinding.log index 9a00e60827..86d379d1e1 100644 --- a/tests/FuncBinding/FuncBinding.log +++ b/tests/FuncBinding/FuncBinding.log @@ -1135,13 +1135,9 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:22:1, endln:41:8 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.IDLE), line:23:3, endln:23:7 - |vpiParent: - \_case_item: , line:23:3, endln:29:13 - |vpiName:IDLE - |vpiFullName:work@fsm_using_function.fsm_function.IDLE - |vpiActual: - \_enum_const: (IDLE), line:11:27, endln:11:35 + \_constant: , line:23:3, endln:23:7 + |vpiSize:64 + |UINT:1 |vpiStmt: \_if_else: , line:23:10, endln:29:13 |vpiParent: @@ -1266,13 +1262,9 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:22:1, endln:41:8 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.GNT0), line:30:3, endln:30:7 - |vpiParent: - \_case_item: , line:30:3, endln:34:13 - |vpiName:GNT0 - |vpiFullName:work@fsm_using_function.fsm_function.GNT0 - |vpiActual: - \_enum_const: (GNT0), line:11:36, endln:11:44 + \_constant: , line:30:3, endln:30:7 + |vpiSize:64 + |UINT:2 |vpiStmt: \_if_else: , line:30:10, endln:34:13 |vpiParent: @@ -1351,13 +1343,9 @@ design: (work@fsm_using_function) |vpiParent: \_case_stmt: , line:22:1, endln:41:8 |vpiExpr: - \_ref_obj: (work@fsm_using_function.fsm_function.GNT1), line:35:3, endln:35:7 - |vpiParent: - \_case_item: , line:35:3, endln:39:13 - |vpiName:GNT1 - |vpiFullName:work@fsm_using_function.fsm_function.GNT1 - |vpiActual: - \_enum_const: (GNT1), line:11:45, endln:11:53 + \_constant: , line:35:3, endln:35:7 + |vpiSize:64 + |UINT:3 |vpiStmt: \_if_else: , line:35:10, endln:39:13 |vpiParent: diff --git a/tests/FuncBinding2/FuncBinding2.log b/tests/FuncBinding2/FuncBinding2.log index 03bd4e9a3a..206d596ab2 100644 --- a/tests/FuncBinding2/FuncBinding2.log +++ b/tests/FuncBinding2/FuncBinding2.log @@ -411,7 +411,7 @@ design: (work@vend) |vpiParent: \_module_inst: work@vend (work@vend), file:${SURELOG_DIR}/tests/FuncBinding2/dut.sv, line:1:1, endln:33:10 |vpiRhs: - \_constant: , line:7:16, endln:7:21 + \_constant: , line:16:5, endln:16:7 |vpiParent: \_param_assign: , line:7:11, endln:7:21 |vpiDecompile:2'b00 @@ -420,7 +420,7 @@ design: (work@vend) |vpiTypespec: \_ref_typespec: (work@vend) |vpiParent: - \_constant: , line:7:16, endln:7:21 + \_constant: , line:16:5, endln:16:7 |vpiFullName:work@vend |vpiActual: \_int_typespec: , line:7:1, endln:7:21 @@ -488,13 +488,7 @@ design: (work@vend) |vpiParent: \_case_stmt: , line:15:5, endln:23:12 |vpiExpr: - \_ref_obj: (work@vend.fsm.s0), line:16:5, endln:16:7 - |vpiParent: - \_case_item: , line:16:5, endln:22:8 - |vpiName:s0 - |vpiFullName:work@vend.fsm.s0 - |vpiActual: - \_parameter: (work@vend.s0), line:7:11, endln:7:13 + \_constant: , line:16:5, endln:16:7 |vpiStmt: \_begin: (work@vend.fsm), line:17:5, endln:22:8 |vpiParent: diff --git a/tests/FuncDef2/FuncDef2.log b/tests/FuncDef2/FuncDef2.log index 489691d49f..afe45f5966 100644 --- a/tests/FuncDef2/FuncDef2.log +++ b/tests/FuncDef2/FuncDef2.log @@ -11160,6 +11160,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:127:25, endln:127:73 |vpiParent: \_func_call: (tnoc_clog2), line:127:14, endln:127:74 + |vpiTypespec: + \_ref_typespec: (tnoc_pkg::get_byte_size_width) + |vpiParent: + \_operation: , line:127:25, endln:127:73 + |vpiFullName:tnoc_pkg::get_byte_size_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:24 |vpiOperand: \_func_call: (tnoc_clog2), line:127:25, endln:127:69 @@ -11169,6 +11176,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:127:36, endln:127:68 |vpiParent: \_func_call: (tnoc_clog2), line:127:25, endln:127:69 + |vpiTypespec: + \_ref_typespec: (tnoc_pkg::get_byte_size_width) + |vpiParent: + \_operation: , line:127:36, endln:127:68 + |vpiFullName:tnoc_pkg::get_byte_size_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:12 |vpiOperand: \_hier_path: (packet_config.max_data_width), line:127:36, endln:127:64 @@ -11528,6 +11542,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:149:25, endln:149:57 |vpiParent: \_func_call: (tnoc_clog2), line:149:14, endln:149:58 + |vpiTypespec: + \_ref_typespec: (tnoc_pkg::get_byte_offset_width) + |vpiParent: + \_operation: , line:149:25, endln:149:57 + |vpiFullName:tnoc_pkg::get_byte_offset_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:12 |vpiOperand: \_hier_path: (packet_config.max_data_width), line:149:25, endln:149:53 @@ -11665,6 +11686,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:158:25, endln:158:53 |vpiParent: \_func_call: (tnoc_clog2), line:158:14, endln:158:54 + |vpiTypespec: + \_ref_typespec: (tnoc_pkg::get_byte_end_width) + |vpiParent: + \_operation: , line:158:25, endln:158:53 + |vpiFullName:tnoc_pkg::get_byte_end_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:12 |vpiOperand: \_hier_path: (packet_config.data_width), line:158:25, endln:158:49 @@ -21805,6 +21833,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:127:25, endln:127:73 |vpiParent: \_func_call: (tnoc_clog2), line:127:14, endln:127:74 + |vpiTypespec: + \_ref_typespec: (work@tnoc_vc_splitter.get_byte_size_width) + |vpiParent: + \_operation: , line:127:25, endln:127:73 + |vpiFullName:work@tnoc_vc_splitter.get_byte_size_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:24 |vpiOperand: \_func_call: (tnoc_clog2), line:127:25, endln:127:69 @@ -22109,6 +22144,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:149:25, endln:149:57 |vpiParent: \_func_call: (tnoc_clog2), line:149:14, endln:149:58 + |vpiTypespec: + \_ref_typespec: (work@tnoc_vc_splitter.get_byte_offset_width) + |vpiParent: + \_operation: , line:149:25, endln:149:57 + |vpiFullName:work@tnoc_vc_splitter.get_byte_offset_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:12 |vpiOperand: \_hier_path: (packet_config.max_data_width), line:149:25, endln:149:53 @@ -22219,6 +22261,13 @@ design: (work@tnoc_vc_splitter) \_operation: , line:158:25, endln:158:53 |vpiParent: \_func_call: (tnoc_clog2), line:158:14, endln:158:54 + |vpiTypespec: + \_ref_typespec: (work@tnoc_vc_splitter.get_byte_end_width) + |vpiParent: + \_operation: , line:158:25, endln:158:53 + |vpiFullName:work@tnoc_vc_splitter.get_byte_end_width + |vpiActual: + \_bit_typespec: , line:66:37, endln:66:40 |vpiOpType:12 |vpiOperand: \_hier_path: (packet_config.data_width), line:158:25, endln:158:49 diff --git a/tests/Ternary/Ternary.log b/tests/Ternary/Ternary.log index e27d5f40ff..493e735b47 100644 --- a/tests/Ternary/Ternary.log +++ b/tests/Ternary/Ternary.log @@ -3258,7 +3258,7 @@ design: (work@test) |vpiParent: \_module_inst: work@test (work@test), file:${SURELOG_DIR}/tests/Ternary/top.sv, line:3:1, endln:85:10 |vpiRhs: - \_constant: , line:24:35, endln:24:42 + \_constant: , line:50:17, endln:50:32 |vpiParent: \_param_assign: , line:24:16, endln:24:42 |vpiDecompile:11'h001 @@ -3267,7 +3267,7 @@ design: (work@test) |vpiTypespec: \_ref_typespec: (work@test) |vpiParent: - \_constant: , line:24:35, endln:24:42 + \_constant: , line:50:17, endln:50:32 |vpiFullName:work@test |vpiActual: \_int_typespec: , line:24:5, endln:24:42 @@ -3384,7 +3384,7 @@ design: (work@test) |vpiParent: \_module_inst: work@test (work@test), file:${SURELOG_DIR}/tests/Ternary/top.sv, line:3:1, endln:85:10 |vpiRhs: - \_constant: , line:30:35, endln:30:42 + \_constant: , line:57:17, endln:57:33 |vpiParent: \_param_assign: , line:30:16, endln:30:42 |vpiDecompile:11'h040 @@ -3393,7 +3393,7 @@ design: (work@test) |vpiTypespec: \_ref_typespec: (work@test) |vpiParent: - \_constant: , line:30:35, endln:30:42 + \_constant: , line:57:17, endln:57:33 |vpiFullName:work@test |vpiActual: \_int_typespec: , line:30:5, endln:30:42 @@ -3405,7 +3405,7 @@ design: (work@test) |vpiParent: \_module_inst: work@test (work@test), file:${SURELOG_DIR}/tests/Ternary/top.sv, line:3:1, endln:85:10 |vpiRhs: - \_constant: , line:31:35, endln:31:42 + \_constant: , line:72:17, endln:72:33 |vpiParent: \_param_assign: , line:31:16, endln:31:42 |vpiDecompile:11'h080 @@ -3414,7 +3414,7 @@ design: (work@test) |vpiTypespec: \_ref_typespec: (work@test) |vpiParent: - \_constant: , line:31:35, endln:31:42 + \_constant: , line:72:17, endln:72:33 |vpiFullName:work@test |vpiActual: \_int_typespec: , line:31:5, endln:31:42 @@ -3865,13 +3865,7 @@ design: (work@test) |vpiParent: \_case_stmt: , line:48:13, endln:81:20 |vpiExpr: - \_ref_obj: (work@test.USER_DELAY_INIT), line:50:17, endln:50:32 - |vpiParent: - \_case_item: , line:50:17, endln:55:20 - |vpiName:USER_DELAY_INIT - |vpiFullName:work@test.USER_DELAY_INIT - |vpiActual: - \_parameter: (work@test.USER_DELAY_INIT), line:24:16, endln:24:31 + \_constant: , line:50:17, endln:50:32 |vpiStmt: \_begin: (work@test), line:50:34, endln:55:20 |vpiParent: @@ -3955,13 +3949,7 @@ design: (work@test) |vpiParent: \_case_stmt: , line:48:13, endln:81:20 |vpiExpr: - \_ref_obj: (work@test.USER_CHECK_STATE), line:57:17, endln:57:33 - |vpiParent: - \_case_item: , line:57:17, endln:70:20 - |vpiName:USER_CHECK_STATE - |vpiFullName:work@test.USER_CHECK_STATE - |vpiActual: - \_parameter: (work@test.USER_CHECK_STATE), line:30:16, endln:30:32 + \_constant: , line:57:17, endln:57:33 |vpiStmt: \_begin: (work@test), line:57:35, endln:70:20 |vpiParent: @@ -4164,13 +4152,7 @@ design: (work@test) |vpiParent: \_case_stmt: , line:48:13, endln:81:20 |vpiExpr: - \_ref_obj: (work@test.USER_CHECK_SPACE), line:72:17, endln:72:33 - |vpiParent: - \_case_item: , line:72:17, endln:79:20 - |vpiName:USER_CHECK_SPACE - |vpiFullName:work@test.USER_CHECK_SPACE - |vpiActual: - \_parameter: (work@test.USER_CHECK_SPACE), line:31:16, endln:31:32 + \_constant: , line:72:17, endln:72:33 |vpiStmt: \_begin: (work@test), line:72:35, endln:79:20 |vpiParent: diff --git a/third_party/UHDM b/third_party/UHDM index 0098e460bb..9118dc8904 160000 --- a/third_party/UHDM +++ b/third_party/UHDM @@ -1 +1 @@ -Subproject commit 0098e460bb1c219653a2f0b2d7374de00567a6be +Subproject commit 9118dc8904c9f0d3798fc55c355c5c8b76d78550 diff --git a/third_party/tests/AxiInterconnect/AxiInterconnect.log b/third_party/tests/AxiInterconnect/AxiInterconnect.log index c3eab2c9bd..cbd80a1715 100644 --- a/third_party/tests/AxiInterconnect/AxiInterconnect.log +++ b/third_party/tests/AxiInterconnect/AxiInterconnect.log @@ -64039,7 +64039,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:296:18, endln:296:22 + \_constant: , line:570:9, endln:570:19 |vpiParent: \_param_assign: , line:296:5, endln:296:22 |vpiDecompile:0 @@ -64048,7 +64048,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:296:18, endln:296:22 + \_constant: , line:570:9, endln:570:19 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64060,7 +64060,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:297:20, endln:297:24 + \_constant: , line:614:9, endln:614:21 |vpiParent: \_param_assign: , line:297:5, endln:297:24 |vpiDecompile:1 @@ -64069,7 +64069,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:297:20, endln:297:24 + \_constant: , line:614:9, endln:614:21 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64081,7 +64081,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:298:19, endln:298:23 + \_constant: , line:651:9, endln:651:20 |vpiParent: \_param_assign: , line:298:5, endln:298:23 |vpiDecompile:2 @@ -64090,7 +64090,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:298:19, endln:298:23 + \_constant: , line:651:9, endln:651:20 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64102,7 +64102,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:299:24, endln:299:28 + \_constant: , line:678:9, endln:678:25 |vpiParent: \_param_assign: , line:299:5, endln:299:28 |vpiDecompile:3 @@ -64111,7 +64111,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:299:24, endln:299:28 + \_constant: , line:678:9, endln:678:25 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64123,7 +64123,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:300:24, endln:300:28 + \_constant: , line:691:9, endln:691:25 |vpiParent: \_param_assign: , line:300:5, endln:300:28 |vpiDecompile:4 @@ -64132,7 +64132,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:300:24, endln:300:28 + \_constant: , line:691:9, endln:691:25 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64144,7 +64144,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:301:18, endln:301:22 + \_constant: , line:705:9, endln:705:19 |vpiParent: \_param_assign: , line:301:5, endln:301:22 |vpiDecompile:5 @@ -64153,7 +64153,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:301:18, endln:301:22 + \_constant: , line:705:9, endln:705:19 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64165,7 +64165,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:302:23, endln:302:27 + \_constant: , line:732:9, endln:732:24 |vpiParent: \_param_assign: , line:302:5, endln:302:27 |vpiDecompile:6 @@ -64174,7 +64174,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:302:23, endln:302:27 + \_constant: , line:732:9, endln:732:24 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -64186,7 +64186,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_module_inst: work@axi_interconnect (work@axi_interconnect_wrapper.axi_interconnect), file:${SURELOG_DIR}/third_party/tests/AxiInterconnect/axi_interconnect_wrapper.v, line:1108:1, endln:1213:3 |vpiRhs: - \_constant: , line:303:23, endln:303:27 + \_constant: , line:753:9, endln:753:24 |vpiParent: \_param_assign: , line:303:5, endln:303:27 |vpiDecompile:7 @@ -64195,7 +64195,7 @@ design: (work@axi_interconnect_wrapper) |vpiTypespec: \_ref_typespec: (work@axi_interconnect_wrapper.axi_interconnect) |vpiParent: - \_constant: , line:303:23, endln:303:27 + \_constant: , line:753:9, endln:753:24 |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect |vpiActual: \_int_typespec: , line:295:12, endln:295:17 @@ -74772,13 +74772,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_IDLE), line:570:9, endln:570:19 - |vpiParent: - \_case_item: , line:570:9, endln:613:12 - |vpiName:STATE_IDLE - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_IDLE - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_IDLE), line:296:5, endln:296:15 + \_constant: , line:570:9, endln:570:19 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:570:21, endln:613:12 |vpiParent: @@ -75469,13 +75463,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_DECODE), line:614:9, endln:614:21 - |vpiParent: - \_case_item: , line:614:9, endln:650:12 - |vpiName:STATE_DECODE - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_DECODE - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_DECODE), line:297:5, endln:297:17 + \_constant: , line:614:9, endln:614:21 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:614:23, endln:650:12 |vpiParent: @@ -76355,13 +76343,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE), line:651:9, endln:651:20 - |vpiParent: - \_case_item: , line:651:9, endln:677:12 - |vpiName:STATE_WRITE - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE), line:298:5, endln:298:16 + \_constant: , line:651:9, endln:651:20 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:651:22, endln:677:12 |vpiParent: @@ -76734,13 +76716,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_RESP), line:678:9, endln:678:25 - |vpiParent: - \_case_item: , line:678:9, endln:690:12 - |vpiName:STATE_WRITE_RESP - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_RESP - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_RESP), line:299:5, endln:299:21 + \_constant: , line:678:9, endln:678:25 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:678:27, endln:690:12 |vpiParent: @@ -76924,13 +76900,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_DROP), line:691:9, endln:691:25 - |vpiParent: - \_case_item: , line:691:9, endln:704:12 - |vpiName:STATE_WRITE_DROP - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_DROP - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WRITE_DROP), line:300:5, endln:300:21 + \_constant: , line:691:9, endln:691:25 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:691:27, endln:704:12 |vpiParent: @@ -77121,13 +77091,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_READ), line:705:9, endln:705:19 - |vpiParent: - \_case_item: , line:705:9, endln:731:12 - |vpiName:STATE_READ - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_READ - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_READ), line:301:5, endln:301:15 + \_constant: , line:705:9, endln:705:19 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:705:21, endln:731:12 |vpiParent: @@ -77498,13 +77462,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_READ_DROP), line:732:9, endln:732:24 - |vpiParent: - \_case_item: , line:732:9, endln:752:12 - |vpiName:STATE_READ_DROP - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_READ_DROP - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_READ_DROP), line:302:5, endln:302:20 + \_constant: , line:732:9, endln:732:24 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:732:26, endln:752:12 |vpiParent: @@ -77812,13 +77770,7 @@ design: (work@axi_interconnect_wrapper) |vpiParent: \_case_stmt: , line:569:5, endln:762:12 |vpiExpr: - \_ref_obj: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WAIT_IDLE), line:753:9, endln:753:24 - |vpiParent: - \_case_item: , line:753:9, endln:761:12 - |vpiName:STATE_WAIT_IDLE - |vpiFullName:work@axi_interconnect_wrapper.axi_interconnect.STATE_WAIT_IDLE - |vpiActual: - \_parameter: (work@axi_interconnect_wrapper.axi_interconnect.STATE_WAIT_IDLE), line:303:5, endln:303:20 + \_constant: , line:753:9, endln:753:24 |vpiStmt: \_begin: (work@axi_interconnect_wrapper.axi_interconnect), line:753:26, endln:761:12 |vpiParent: diff --git a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log index 89f146c6aa..06196339ae 100644 --- a/third_party/tests/CoresSweRVMP/CoresSweRVMP.log +++ b/third_party/tests/CoresSweRVMP/CoresSweRVMP.log @@ -80,19 +80,19 @@ CMake Deprecation Warning at CMakeLists.txt:1 (cmake_minimum_required): -- Configuring done (0.0s) -- Generating done (0.0s) -- Build files have been written to: ${SURELOG_DIR}/build/regression/CoresSweRVMP/slpp_all/mp_preprocess -[ 6%] Generating 11_ifu_bp_ctl.sv -[ 12%] Generating 12_beh_lib.sv -[ 18%] Generating 13_ifu_mem_ctl.sv -[ 25%] Generating 14_mem_lib.sv -[ 31%] Generating 10_lsu_bus_intf.sv +[ 6%] Generating 10_lsu_bus_intf.sv +[ 12%] Generating 11_ifu_bp_ctl.sv +[ 18%] Generating 12_beh_lib.sv +[ 25%] Generating 13_ifu_mem_ctl.sv +[ 31%] Generating 14_mem_lib.sv [ 37%] Generating 15_exu.sv [ 43%] Generating 16_dec_decode_ctl.sv [ 50%] Generating 1_lsu_stbuf.sv [ 56%] Generating 2_ahb_to_axi4.sv -[ 62%] Generating 4_dec_tlu_ctl.sv -[ 68%] Generating 3_rvjtag_tap.sv +[ 62%] Generating 3_rvjtag_tap.sv +[ 68%] Generating 4_dec_tlu_ctl.sv +[ 75%] Generating 5_lsu_bus_buffer.sv [ 81%] Generating 6_dbg.sv -[ 81%] Generating 5_lsu_bus_buffer.sv [ 87%] Generating 7_axi4_to_ahb.sv [ 93%] Generating 8_ifu_aln_ctl.sv [100%] Generating 9_tb_top.sv