-
-
Notifications
You must be signed in to change notification settings - Fork 4
/
Copy pathreg8b_tb.vhd
50 lines (32 loc) · 1.08 KB
/
reg8b_tb.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use work.bookUtility.all; -- for toString
entity reg8b_tb is
end;
architecture bench of reg8b_tb is
signal tE,tC: STD_LOGIC;
signal tD,tQ: std_logic_vector (7 downto 0);
begin
testing: process
procedure vypis is
begin
report toString(tQ);
end procedure;
begin
tE <= '1'; tD <= "00000000"; tC <= '0'; wait for 10 ns;
--pulse
tC <= '1'; wait for 1 ns; tC<= '0'; wait for 9 ns; assert tQ = "00000000" report "store 0" severity failure;
vypis;
tD <= "01010101";
--pulse
tC <= '1'; wait for 1 ns; tC<= '0'; wait for 9 ns; assert tQ = "01010101" report "store 1" severity failure;
vypis;
tD <= "00000000";wait for 10 ns; assert tQ = "01010101" report "store 1" severity failure;
vypis;
tC <= '1'; wait for 1 ns; tC<= '0'; wait for 9 ns; assert tQ = "00000000" report "store 0 again" severity failure;
vypis;
report "Test OK";
wait;
end process;
UUT: entity work.reg8b port map (tC,tE,tD,tQ);
end bench;