Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Critical Warnings in Vivado Build #14

Open
alonamid opened this issue Nov 30, 2018 · 0 comments
Open

Critical Warnings in Vivado Build #14

alonamid opened this issue Nov 30, 2018 · 0 comments

Comments

@alonamid
Copy link
Contributor

alonamid commented Nov 30, 2018

Vivado logs output quite a few critical warnings.

Some of them include warnings regarding the SimpleNIC BRAMQueue URAM contraints, claiming that it can't find the relevant cells. However, the Queues are indeed mapped with the correct urams, and removing the contraints removes the urams. Unclear about the actual meaning of the warning:

2018-11-29 11:54:10,133 [flush       ] [INFO ]  [192.168.2.248] out: CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/centos/firesim-build/platforms/f1/aws-fpga/hdk/cl/developer_designs/cl_firesim/build/constraints/cl_synth_user.xdc:5]
2018-11-29 11:54:10,134 [flush       ] [INFO ]  [192.168.2.248] out: Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
2018-11-29 11:54:10,134 [flush       ] [INFO ]  [192.168.2.248] out: WARNING: [Vivado 12-180] No cells matched 'firesim_top/top/SimpleNICWidget_0/outgoingPCISdat/BRAMQueue/fq/ram_reg'. [/home/centos/firesim-build/platforms/f1/aws-fpga/hdk/cl/developer_designs/cl_firesim/build/constraints/cl_synth_user.xdc:6]
2018-11-29 11:54:10,134 [flush       ] [INFO ]  [192.168.2.248] out: CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/home/centos/firesim-build/platforms/f1/aws-fpga/hdk/cl/developer_designs/cl_firesim/build/constraints/cl_synth_user.xdc:6]
2018-11-29 11:54:10,134 [flush       ] [INFO ]  [192.168.2.248] out: Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant