Skip to content

Commit e511677

Browse files
author
Organick
authoredNov 14, 2018
Add files via upload
1 parent 383b0b2 commit e511677

File tree

84 files changed

+4879
-2
lines changed

Some content is hidden

Large Commits have some content hidden by default. Use the searchbox below for content that may be hidden.

84 files changed

+4879
-2
lines changed
 

‎1.jpg

117 KB
Loading

‎Lab2/adder.vhd

+41
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,41 @@
1+
----------------------------------------------------------------------------------
2+
-- Company:
3+
-- Engineer:
4+
--
5+
-- Create Date: 00:32:30 10/11/2018
6+
-- Design Name:
7+
-- Module Name: adder - Behavioral
8+
-- Project Name:
9+
-- Target Devices:
10+
-- Tool versions:
11+
-- Description:
12+
--
13+
-- Dependencies:
14+
--
15+
-- Revision:
16+
-- Revision 0.01 - File Created
17+
-- Additional Comments:
18+
--
19+
----------------------------------------------------------------------------------
20+
library IEEE;
21+
use IEEE.STD_LOGIC_1164.ALL;
22+
23+
-- Uncomment the following library declaration if using
24+
-- arithmetic functions with Signed or Unsigned values
25+
--use IEEE.NUMERIC_STD.ALL;
26+
27+
-- Uncomment the following library declaration if instantiating
28+
-- any Xilinx primitives in this code.
29+
--library UNISIM;
30+
--use UNISIM.VComponents.all;
31+
32+
entity adder is
33+
end adder;
34+
35+
architecture Behavioral of adder is
36+
37+
begin
38+
39+
40+
end Behavioral;
41+

0 commit comments

Comments
 (0)
Please sign in to comment.