-
Notifications
You must be signed in to change notification settings - Fork 2
/
Copy pathslow_clock_tests.tcl
1151 lines (980 loc) · 57.8 KB
/
slow_clock_tests.tcl
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
463
464
465
466
467
468
469
470
471
472
473
474
475
476
477
478
479
480
481
482
483
484
485
486
487
488
489
490
491
492
493
494
495
496
497
498
499
500
501
502
503
504
505
506
507
508
509
510
511
512
513
514
515
516
517
518
519
520
521
522
523
524
525
526
527
528
529
530
531
532
533
534
535
536
537
538
539
540
541
542
543
544
545
546
547
548
549
550
551
552
553
554
555
556
557
558
559
560
561
562
563
564
565
566
567
568
569
570
571
572
573
574
575
576
577
578
579
580
581
582
583
584
585
586
587
588
589
590
591
592
593
594
595
596
597
598
599
600
601
602
603
604
605
606
607
608
609
610
611
612
613
614
615
616
617
618
619
620
621
622
623
624
625
626
627
628
629
630
631
632
633
634
635
636
637
638
639
640
641
642
643
644
645
646
647
648
649
650
651
652
653
654
655
656
657
658
659
660
661
662
663
664
665
666
667
668
669
670
671
672
673
674
675
676
677
678
679
680
681
682
683
684
685
686
687
688
689
690
691
692
693
694
695
696
697
698
699
700
701
702
703
704
705
706
707
708
709
710
711
712
713
714
715
716
717
718
719
720
721
722
723
724
725
726
727
728
729
730
731
732
733
734
735
736
737
738
739
740
741
742
743
744
745
746
747
748
749
750
751
752
753
754
755
756
757
758
759
760
761
762
763
764
765
766
767
768
769
770
771
772
773
774
775
776
777
778
779
780
781
782
783
784
785
786
787
788
789
790
791
792
793
794
795
796
797
798
799
800
801
802
803
804
805
806
807
808
809
810
811
812
813
814
815
816
817
818
819
820
821
822
823
824
825
826
827
828
829
830
831
832
833
834
835
836
837
838
839
840
841
842
843
844
845
846
847
848
849
850
851
852
853
854
855
856
857
858
859
860
861
862
863
864
865
866
867
868
869
870
871
872
873
874
875
876
877
878
879
880
881
882
883
884
885
886
887
888
889
890
891
892
893
894
895
896
897
898
899
900
901
902
903
904
905
906
907
908
909
910
911
912
913
914
915
916
917
918
919
920
921
922
923
924
925
926
927
928
929
930
931
932
933
934
935
936
937
938
939
940
941
942
943
944
945
946
947
948
949
950
951
952
953
954
955
956
957
958
959
960
961
962
963
964
965
966
967
968
969
970
971
972
973
974
975
976
977
978
979
980
981
982
983
984
985
986
987
988
989
990
991
992
993
994
995
996
997
998
999
1000
#*****************************************************************************************
# Vivado (TM) v2022.2 (64-bit)
#
# slow_clock_tests.tcl: Tcl script for re-creating project 'slow_clock_tests'
#
# Generated by Vivado on Tue Aug 29 13:49:20 EDT 2023
# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022
#
# This file contains the Vivado Tcl commands for re-creating the project to the state*
# when this script was generated. In order to re-create the project, please source this
# file in the Vivado Tcl Shell.
#
# * Note that the runs in the created project will be configured the same way as the
# original project, however they will not be launched automatically. To regenerate the
# run results please launch the synthesis/implementation runs as needed.
#
#*****************************************************************************************
# NOTE: In order to use this script for source control purposes, please make sure that the
# following files are added to the source control system:-
#
# 1. This project restoration tcl script (slow_clock_tests.tcl) that was generated.
#
# 2. The following source(s) files that were local or imported into the original project.
# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script)
#
# "constraints.xdc"
#
# 3. The following remote source files that were added to the original project:-
#
# <none>
#
#*****************************************************************************************
# Check file required for this script exists
proc checkRequiredFiles { origin_dir} {
set status true
set files [list \
"[file normalize "$origin_dir/constraints.xdc"]"\
]
foreach ifile $files {
if { ![file isfile $ifile] } {
puts " Could not find local file $ifile "
set status false
}
}
return $status
}
# Set the reference directory for source file relative paths (by default the value is script directory path)
set origin_dir "."
# Use origin directory path location variable, if specified in the tcl shell
if { [info exists ::origin_dir_loc] } {
set origin_dir $::origin_dir_loc
}
# Set the project name
set _xil_proj_name_ "slow_clock_tests"
# Use project name variable, if specified in the tcl shell
if { [info exists ::user_project_name] } {
set _xil_proj_name_ $::user_project_name
}
variable script_file
set script_file "slow_clock_tests.tcl"
# Help information for this script
proc print_help {} {
variable script_file
puts "\nDescription:"
puts "Recreate a Vivado project from this script. The created project will be"
puts "functionally equivalent to the original project for which this script was"
puts "generated. The script contains commands for creating a project, filesets,"
puts "runs, adding/importing sources and setting properties on various objects.\n"
puts "Syntax:"
puts "$script_file"
puts "$script_file -tclargs \[--origin_dir <path>\]"
puts "$script_file -tclargs \[--project_name <name>\]"
puts "$script_file -tclargs \[--help\]\n"
puts "Usage:"
puts "Name Description"
puts "-------------------------------------------------------------------------"
puts "\[--origin_dir <path>\] Determine source file paths wrt this path. Default"
puts " origin_dir path value is \".\", otherwise, the value"
puts " that was set with the \"-paths_relative_to\" switch"
puts " when this script was generated.\n"
puts "\[--project_name <name>\] Create project with the specified name. Default"
puts " name is the name of the project from where this"
puts " script was generated.\n"
puts "\[--help\] Print help information for this script"
puts "-------------------------------------------------------------------------\n"
exit 0
}
if { $::argc > 0 } {
for {set i 0} {$i < $::argc} {incr i} {
set option [string trim [lindex $::argv $i]]
switch -regexp -- $option {
"--origin_dir" { incr i; set origin_dir [lindex $::argv $i] }
"--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] }
"--help" { print_help }
default {
if { [regexp {^-} $option] } {
puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n"
return 1
}
}
}
}
}
# Set the directory path for the original project from where this script was exported
set orig_proj_dir "[file normalize "$origin_dir/slow_clock_tests"]"
# Check for paths and files needed for project creation
set validate_required 0
if { $validate_required } {
if { [checkRequiredFiles $origin_dir] } {
puts "Tcl file $script_file is valid. All files required for project creation is accesable. "
} else {
puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. "
return
}
}
# Create project
create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xcku15p-ffve1517-2-i
# Set the directory path for the new project
set proj_dir [get_property directory [current_project]]
# Set project properties
set obj [current_project]
set_property -name "default_lib" -value "xil_defaultlib" -objects $obj
set_property -name "enable_resource_estimation" -value "0" -objects $obj
set_property -name "enable_vhdl_2008" -value "1" -objects $obj
set_property -name "ip_cache_permissions" -value "read write" -objects $obj
set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj
set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj
set_property -name "part" -value "xcku15p-ffve1517-2-i" -objects $obj
set_property -name "revised_directory_structure" -value "1" -objects $obj
set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj
set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj
set_property -name "simulator_language" -value "Mixed" -objects $obj
set_property -name "sim_compile_state" -value "1" -objects $obj
set_property -name "xpm_libraries" -value "XPM_CDC XPM_FIFO XPM_MEMORY" -objects $obj
# Create 'sources_1' fileset (if not found)
if {[string equal [get_filesets -quiet sources_1] ""]} {
create_fileset -srcset sources_1
}
# Set 'sources_1' fileset object
set obj [get_filesets sources_1]
# Set 'sources_1' fileset file properties for remote files
# None
# Set 'sources_1' fileset file properties for local files
# None
# Set 'sources_1' fileset properties
set obj [get_filesets sources_1]
set_property -name "top" -value "xdma_wrapper" -objects $obj
# Create 'constrs_1' fileset (if not found)
if {[string equal [get_filesets -quiet constrs_1] ""]} {
create_fileset -constrset constrs_1
}
# Set 'constrs_1' fileset object
set obj [get_filesets constrs_1]
# Add/Import constrs file and set constrs file properties
set file "[file normalize "$origin_dir/constraints.xdc"]"
set file_imported [import_files -fileset constrs_1 [list $file]]
set file "constraints.xdc"
set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]]
set_property -name "file_type" -value "XDC" -objects $file_obj
# Set 'constrs_1' fileset properties
set obj [get_filesets constrs_1]
set_property -name "target_part" -value "xcku15p-ffve1517-2-i" -objects $obj
# Create 'sim_1' fileset (if not found)
if {[string equal [get_filesets -quiet sim_1] ""]} {
create_fileset -simset sim_1
}
# Set 'sim_1' fileset object
set obj [get_filesets sim_1]
# Empty (no sources present)
# Set 'sim_1' fileset properties
set obj [get_filesets sim_1]
set_property -name "top" -value "xdma_wrapper" -objects $obj
set_property -name "top_lib" -value "xil_defaultlib" -objects $obj
# Set 'utils_1' fileset object
set obj [get_filesets utils_1]
# Empty (no sources present)
# Set 'utils_1' fileset properties
set obj [get_filesets utils_1]
# Adding sources referenced in BDs, if not already added
# Proc to create BD xdma
proc cr_bd_xdma { parentCell } {
# CHANGE DESIGN NAME HERE
set design_name xdma
common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..."
create_bd_design $design_name
set bCheckIPsPassed 1
##################################################################
# CHECK IPs
##################################################################
set bCheckIPs 1
if { $bCheckIPs == 1 } {
set list_check_ips "\
xilinx.com:ip:axi_bram_ctrl:4.1\
xilinx.com:ip:blk_mem_gen:8.4\
xilinx.com:ip:axi_gpio:2.0\
xilinx.com:ip:c_counter_binary:12.0\
xilinx.com:ip:clk_wiz:6.0\
xilinx.com:ip:debug_bridge:3.0\
xilinx.com:ip:jtag_axi:1.2\
xilinx.com:ip:proc_sys_reset:5.0\
xilinx.com:ip:smartconnect:1.0\
xilinx.com:ip:util_ds_buf:2.2\
xilinx.com:ip:util_vector_logic:2.0\
xilinx.com:ip:xdma:4.1\
xilinx.com:ip:xlconcat:2.1\
xilinx.com:ip:xlslice:1.0\
"
set list_ips_missing ""
common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ."
foreach ip_vlnv $list_check_ips {
set ip_obj [get_ipdefs -all $ip_vlnv]
if { $ip_obj eq "" } {
lappend list_ips_missing $ip_vlnv
}
}
if { $list_ips_missing ne "" } {
catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." }
set bCheckIPsPassed 0
}
}
if { $bCheckIPsPassed != 1 } {
common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above."
return 3
}
variable script_folder
if { $parentCell eq "" } {
set parentCell [get_bd_cells /]
}
# Get object for parentCell
set parentObj [get_bd_cells $parentCell]
if { $parentObj == "" } {
catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"}
return
}
# Make sure parentObj is hier blk
set parentType [get_property TYPE $parentObj]
if { $parentType ne "hier" } {
catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be <hier>."}
return
}
# Save current instance; Restore later
set oldCurInst [current_bd_instance .]
# Set parent object as current
current_bd_instance $parentObj
# Create interface ports
set diff_clock_rtl_0 [ create_bd_intf_port -mode Slave -vlnv xilinx.com:interface:diff_clock_rtl:1.0 diff_clock_rtl_0 ]
set_property -dict [ list \
CONFIG.FREQ_HZ {100000000} \
] $diff_clock_rtl_0
set pcie_7x_mgt_rtl_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:pcie_7x_mgt_rtl:1.0 pcie_7x_mgt_rtl_0 ]
# Create ports
set gpio_io_o_0 [ create_bd_port -dir O -from 0 -to 0 gpio_io_o_0 ]
set gpio_io_o_1 [ create_bd_port -dir O -from 0 -to 0 gpio_io_o_1 ]
set reset_rtl_0 [ create_bd_port -dir I -type rst reset_rtl_0 ]
set_property -dict [ list \
CONFIG.POLARITY {ACTIVE_LOW} \
] $reset_rtl_0
# Create instance: axi_bram_ctrl_0, and set properties
set axi_bram_ctrl_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_bram_ctrl:4.1 axi_bram_ctrl_0 ]
set_property -dict [list \
CONFIG.DATA_WIDTH {256} \
CONFIG.SINGLE_PORT_BRAM {1} \
] $axi_bram_ctrl_0
# Create instance: axi_bram_ctrl_0_bram, and set properties
set axi_bram_ctrl_0_bram [ create_bd_cell -type ip -vlnv xilinx.com:ip:blk_mem_gen:8.4 axi_bram_ctrl_0_bram ]
# Create instance: axi_gpio_0, and set properties
set axi_gpio_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_0 ]
set_property -dict [list \
CONFIG.C_ALL_OUTPUTS {1} \
CONFIG.C_GPIO_WIDTH {1} \
] $axi_gpio_0
# Create instance: axi_gpio_1, and set properties
set axi_gpio_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_1 ]
set_property CONFIG.C_ALL_INPUTS {1} $axi_gpio_1
# Create instance: axi_gpio_2, and set properties
set axi_gpio_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_2 ]
set_property CONFIG.C_ALL_INPUTS {1} $axi_gpio_2
# Create instance: axi_gpio_3, and set properties
set axi_gpio_3 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_3 ]
set_property CONFIG.C_ALL_INPUTS {1} $axi_gpio_3
# Create instance: axi_gpio_4, and set properties
set axi_gpio_4 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_4 ]
set_property -dict [list \
CONFIG.C_ALL_INPUTS {1} \
CONFIG.C_ALL_INPUTS_2 {1} \
CONFIG.C_IS_DUAL {1} \
] $axi_gpio_4
# Create instance: axi_gpio_5, and set properties
set axi_gpio_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_5 ]
set_property -dict [list \
CONFIG.C_ALL_INPUTS {1} \
CONFIG.C_ALL_INPUTS_2 {1} \
CONFIG.C_IS_DUAL {1} \
] $axi_gpio_5
# Create instance: axi_gpio_6, and set properties
set axi_gpio_6 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_6 ]
set_property -dict [list \
CONFIG.C_ALL_INPUTS {1} \
CONFIG.C_ALL_INPUTS_2 {1} \
CONFIG.C_IS_DUAL {1} \
] $axi_gpio_6
# Create instance: axi_gpio_7, and set properties
set axi_gpio_7 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_7 ]
set_property CONFIG.C_ALL_INPUTS {1} $axi_gpio_7
# Create instance: axi_gpio_8, and set properties
set axi_gpio_8 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_8 ]
set_property -dict [list \
CONFIG.C_ALL_OUTPUTS {1} \
CONFIG.C_GPIO_WIDTH {1} \
] $axi_gpio_8
# Create instance: axi_gpio_9, and set properties
set axi_gpio_9 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_9 ]
set_property -dict [list \
CONFIG.C_ALL_INPUTS {1} \
CONFIG.C_ALL_INPUTS_2 {1} \
CONFIG.C_IS_DUAL {1} \
] $axi_gpio_9
# Create instance: c_counter_binary_0, and set properties
set c_counter_binary_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_0 ]
set_property CONFIG.Output_Width {18} $c_counter_binary_0
# Create instance: c_counter_binary_1, and set properties
set c_counter_binary_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_1 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_1
# Create instance: c_counter_binary_2, and set properties
set c_counter_binary_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_2 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_2
# Create instance: c_counter_binary_3, and set properties
set c_counter_binary_3 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_3 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_3
# Create instance: c_counter_binary_4, and set properties
set c_counter_binary_4 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_4 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_4
# Create instance: c_counter_binary_5, and set properties
set c_counter_binary_5 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_5 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_5
# Create instance: c_counter_binary_6, and set properties
set c_counter_binary_6 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_6 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_6
# Create instance: c_counter_binary_7, and set properties
set c_counter_binary_7 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_7 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_7
# Create instance: c_counter_binary_8, and set properties
set c_counter_binary_8 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_8 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_8
# Create instance: c_counter_binary_9, and set properties
set c_counter_binary_9 [ create_bd_cell -type ip -vlnv xilinx.com:ip:c_counter_binary:12.0 c_counter_binary_9 ]
set_property CONFIG.Output_Width {32} $c_counter_binary_9
# Create instance: clk_wiz_0, and set properties
set clk_wiz_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:clk_wiz:6.0 clk_wiz_0 ]
set_property -dict [list \
CONFIG.CLKOUT2_JITTER {134.506} \
CONFIG.CLKOUT2_PHASE_ERROR {154.678} \
CONFIG.CLKOUT2_USED {true} \
CONFIG.CLKOUT3_JITTER {134.506} \
CONFIG.CLKOUT3_PHASE_ERROR {154.678} \
CONFIG.CLKOUT3_USED {true} \
CONFIG.CLKOUT4_JITTER {134.506} \
CONFIG.CLKOUT4_PHASE_ERROR {154.678} \
CONFIG.CLKOUT4_USED {true} \
CONFIG.CLKOUT5_JITTER {134.506} \
CONFIG.CLKOUT5_PHASE_ERROR {154.678} \
CONFIG.CLKOUT5_USED {true} \
CONFIG.CLKOUT6_JITTER {134.506} \
CONFIG.CLKOUT6_PHASE_ERROR {154.678} \
CONFIG.CLKOUT6_USED {true} \
CONFIG.CLKOUT7_JITTER {134.506} \
CONFIG.CLKOUT7_PHASE_ERROR {154.678} \
CONFIG.CLKOUT7_USED {true} \
CONFIG.MMCM_CLKFBOUT_MULT_F {10} \
CONFIG.MMCM_CLKIN1_PERIOD {10} \
CONFIG.MMCM_CLKOUT0_DIVIDE_F {10} \
CONFIG.MMCM_CLKOUT1_DIVIDE {10} \
CONFIG.MMCM_CLKOUT2_DIVIDE {10} \
CONFIG.MMCM_CLKOUT3_DIVIDE {100} \
CONFIG.MMCM_CLKOUT4_CASCADE {true} \
CONFIG.MMCM_CLKOUT4_DIVIDE {128} \
CONFIG.MMCM_CLKOUT5_DIVIDE {128} \
CONFIG.MMCM_CLKOUT6_DIVIDE {128} \
CONFIG.MMCM_COMPENSATION {ZHOLD} \
CONFIG.MMCM_DIVCLK_DIVIDE {2} \
CONFIG.NUM_OUT_CLKS {7} \
CONFIG.OVERRIDE_MMCM {true} \
CONFIG.PRIM_SOURCE {Global_buffer} \
CONFIG.RESET_PORT {resetn} \
CONFIG.RESET_TYPE {ACTIVE_LOW} \
CONFIG.USE_LOCKED {false} \
CONFIG.USE_RESET {true} \
] $clk_wiz_0
# Create instance: debug_bridge_0, and set properties
set debug_bridge_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:debug_bridge:3.0 debug_bridge_0 ]
set_property CONFIG.C_DEBUG_MODE {5} $debug_bridge_0
# Create instance: jtag_axi_0, and set properties
set jtag_axi_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:jtag_axi:1.2 jtag_axi_0 ]
# Create instance: proc_sys_reset_0, and set properties
set proc_sys_reset_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0 ]
# Create instance: proc_sys_reset_1, and set properties
set proc_sys_reset_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_1 ]
# Create instance: smartconnect_0, and set properties
set smartconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:smartconnect:1.0 smartconnect_0 ]
set_property -dict [list \
CONFIG.NUM_CLKS {3} \
CONFIG.NUM_MI {11} \
CONFIG.NUM_SI {2} \
] $smartconnect_0
# Create instance: util_ds_buf, and set properties
set util_ds_buf [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 util_ds_buf ]
set_property CONFIG.C_BUF_TYPE {IBUFDSGTE} $util_ds_buf
# Create instance: util_ds_buf_0, and set properties
set util_ds_buf_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_ds_buf:2.2 util_ds_buf_0 ]
set_property CONFIG.C_BUF_TYPE {BUFG} $util_ds_buf_0
# Create instance: util_vector_logic_0, and set properties
set util_vector_logic_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 util_vector_logic_0 ]
set_property -dict [list \
CONFIG.C_OPERATION {not} \
CONFIG.C_SIZE {1} \
] $util_vector_logic_0
# Create instance: util_vector_logic_1, and set properties
set util_vector_logic_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:util_vector_logic:2.0 util_vector_logic_1 ]
set_property -dict [list \
CONFIG.C_OPERATION {not} \
CONFIG.C_SIZE {1} \
] $util_vector_logic_1
# Create instance: xdma_0, and set properties
set xdma_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xdma:4.1 xdma_0 ]
set_property -dict [list \
CONFIG.axi_data_width {256_bit} \
CONFIG.axisten_freq {250} \
CONFIG.cfg_ext_if {true} \
CONFIG.cfg_mgmt_if {false} \
CONFIG.ext_xvc_vsec_enable {true} \
CONFIG.pf0_base_class_menu {Memory_controller} \
CONFIG.pf0_sub_class_interface_menu {Other_memory_controller} \
CONFIG.pl_link_cap_max_link_speed {8.0_GT/s} \
CONFIG.pl_link_cap_max_link_width {X8} \
CONFIG.xdma_axi_intf_mm {AXI_Memory_Mapped} \
CONFIG.xdma_rnum_chnl {2} \
CONFIG.xdma_wnum_chnl {2} \
] $xdma_0
# Create instance: xlconcat_1, and set properties
set xlconcat_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_1 ]
# Create instance: xlconcat_2, and set properties
set xlconcat_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_2 ]
# Create instance: xlconcat_3, and set properties
set xlconcat_3 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_3 ]
# Create instance: xlconcat_7, and set properties
set xlconcat_7 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlconcat:2.1 xlconcat_7 ]
# Create instance: xlslice_0, and set properties
set xlslice_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_0 ]
set_property -dict [list \
CONFIG.DIN_FROM {17} \
CONFIG.DIN_TO {17} \
CONFIG.DIN_WIDTH {18} \
] $xlslice_0
# Create instance: xlslice_1, and set properties
set xlslice_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_1 ]
set_property -dict [list \
CONFIG.DIN_FROM {31} \
CONFIG.DIN_TO {16} \
CONFIG.DIN_WIDTH {32} \
] $xlslice_1
# Create instance: xlslice_2, and set properties
set xlslice_2 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_2 ]
set_property -dict [list \
CONFIG.DIN_FROM {31} \
CONFIG.DIN_TO {16} \
CONFIG.DIN_WIDTH {32} \
] $xlslice_2
# Create instance: xlslice_3, and set properties
set xlslice_3 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_3 ]
set_property -dict [list \
CONFIG.DIN_FROM {31} \
CONFIG.DIN_TO {16} \
CONFIG.DIN_WIDTH {32} \
] $xlslice_3
# Create instance: xlslice_7, and set properties
set xlslice_7 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_7 ]
set_property -dict [list \
CONFIG.DIN_FROM {31} \
CONFIG.DIN_TO {16} \
CONFIG.DIN_WIDTH {32} \
] $xlslice_7
# Create instance: xlslice_8, and set properties
set xlslice_8 [ create_bd_cell -type ip -vlnv xilinx.com:ip:xlslice:1.0 xlslice_8 ]
set_property -dict [list \
CONFIG.DIN_FROM {31} \
CONFIG.DIN_TO {16} \
] $xlslice_8
# Create interface connections
connect_bd_intf_net -intf_net axi_bram_ctrl_0_BRAM_PORTA [get_bd_intf_pins axi_bram_ctrl_0/BRAM_PORTA] [get_bd_intf_pins axi_bram_ctrl_0_bram/BRAM_PORTA]
connect_bd_intf_net -intf_net diff_clock_rtl_0_1 [get_bd_intf_ports diff_clock_rtl_0] [get_bd_intf_pins util_ds_buf/CLK_IN_D]
connect_bd_intf_net -intf_net jtag_axi_0_M_AXI [get_bd_intf_pins jtag_axi_0/M_AXI] [get_bd_intf_pins smartconnect_0/S01_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M00_AXI [get_bd_intf_pins axi_bram_ctrl_0/S_AXI] [get_bd_intf_pins smartconnect_0/M00_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M01_AXI [get_bd_intf_pins axi_gpio_1/S_AXI] [get_bd_intf_pins smartconnect_0/M01_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M02_AXI [get_bd_intf_pins axi_gpio_2/S_AXI] [get_bd_intf_pins smartconnect_0/M02_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M03_AXI [get_bd_intf_pins axi_gpio_3/S_AXI] [get_bd_intf_pins smartconnect_0/M03_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M04_AXI [get_bd_intf_pins axi_gpio_4/S_AXI] [get_bd_intf_pins smartconnect_0/M04_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M05_AXI [get_bd_intf_pins axi_gpio_5/S_AXI] [get_bd_intf_pins smartconnect_0/M05_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M06_AXI [get_bd_intf_pins axi_gpio_6/S_AXI] [get_bd_intf_pins smartconnect_0/M06_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M07_AXI [get_bd_intf_pins axi_gpio_7/S_AXI] [get_bd_intf_pins smartconnect_0/M07_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M08_AXI [get_bd_intf_pins axi_gpio_0/S_AXI] [get_bd_intf_pins smartconnect_0/M08_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M09_AXI [get_bd_intf_pins axi_gpio_8/S_AXI] [get_bd_intf_pins smartconnect_0/M09_AXI]
connect_bd_intf_net -intf_net smartconnect_0_M10_AXI [get_bd_intf_pins axi_gpio_9/S_AXI] [get_bd_intf_pins smartconnect_0/M10_AXI]
connect_bd_intf_net -intf_net xdma_0_M_AXI [get_bd_intf_pins smartconnect_0/S00_AXI] [get_bd_intf_pins xdma_0/M_AXI]
connect_bd_intf_net -intf_net xdma_0_pcie_cfg_ext [get_bd_intf_pins debug_bridge_0/pcie3_cfg_ext] [get_bd_intf_pins xdma_0/pcie_cfg_ext]
connect_bd_intf_net -intf_net xdma_0_pcie_mgt [get_bd_intf_ports pcie_7x_mgt_rtl_0] [get_bd_intf_pins xdma_0/pcie_mgt]
# Create port connections
connect_bd_net -net axi_gpio_0_gpio_io_o [get_bd_pins axi_gpio_0/gpio_io_o] [get_bd_pins util_vector_logic_1/Op1]
connect_bd_net -net axi_gpio_8_gpio_io_o [get_bd_pins axi_gpio_8/gpio_io_o] [get_bd_pins util_vector_logic_0/Op1]
connect_bd_net -net c_counter_binary_1_Q [get_bd_pins c_counter_binary_1/Q] [get_bd_pins xlslice_1/Din]
connect_bd_net -net c_counter_binary_2_Q [get_bd_pins c_counter_binary_2/Q] [get_bd_pins xlslice_2/Din]
connect_bd_net -net c_counter_binary_3_Q [get_bd_pins c_counter_binary_3/Q] [get_bd_pins xlslice_3/Din]
connect_bd_net -net c_counter_binary_4_Q [get_bd_pins axi_gpio_4/gpio2_io_i] [get_bd_pins c_counter_binary_4/Q]
connect_bd_net -net c_counter_binary_5_Q [get_bd_pins axi_gpio_5/gpio2_io_i] [get_bd_pins c_counter_binary_5/Q]
connect_bd_net -net c_counter_binary_6_Q [get_bd_pins axi_gpio_6/gpio2_io_i] [get_bd_pins c_counter_binary_6/Q]
connect_bd_net -net c_counter_binary_7_Q [get_bd_pins c_counter_binary_7/Q] [get_bd_pins xlslice_7/Din]
connect_bd_net -net c_counter_binary_8_Q [get_bd_pins axi_gpio_4/gpio_io_i] [get_bd_pins axi_gpio_5/gpio_io_i] [get_bd_pins axi_gpio_6/gpio_io_i] [get_bd_pins axi_gpio_9/gpio_io_i] [get_bd_pins c_counter_binary_8/Q] [get_bd_pins xlslice_8/Din]
connect_bd_net -net c_counter_binary_9_Q [get_bd_pins c_counter_binary_0/Q] [get_bd_pins xlslice_0/Din]
connect_bd_net -net c_counter_binary_9_Q1 [get_bd_pins axi_gpio_9/gpio2_io_i] [get_bd_pins c_counter_binary_9/Q]
connect_bd_net -net clk_wiz_0_clk_out1 [get_bd_pins c_counter_binary_1/CLK] [get_bd_pins clk_wiz_0/clk_out1]
connect_bd_net -net clk_wiz_0_clk_out2 [get_bd_pins c_counter_binary_2/CLK] [get_bd_pins clk_wiz_0/clk_out2]
connect_bd_net -net clk_wiz_0_clk_out3 [get_bd_pins c_counter_binary_3/CLK] [get_bd_pins clk_wiz_0/clk_out3]
connect_bd_net -net clk_wiz_0_clk_out4 [get_bd_pins c_counter_binary_4/CLK] [get_bd_pins clk_wiz_0/clk_out4]
connect_bd_net -net clk_wiz_0_clk_out5 [get_bd_pins axi_gpio_8/s_axi_aclk] [get_bd_pins c_counter_binary_5/CLK] [get_bd_pins clk_wiz_0/clk_out5] [get_bd_pins proc_sys_reset_1/slowest_sync_clk] [get_bd_pins smartconnect_0/aclk2]
connect_bd_net -net clk_wiz_0_clk_out7 [get_bd_pins c_counter_binary_6/CLK] [get_bd_pins clk_wiz_0/clk_out6]
connect_bd_net -net clk_wiz_0_clk_out8 [get_bd_pins c_counter_binary_7/CLK] [get_bd_pins clk_wiz_0/clk_out7]
connect_bd_net -net proc_sys_reset_0_peripheral_aresetn [get_bd_pins axi_gpio_0/s_axi_aresetn] [get_bd_pins proc_sys_reset_0/peripheral_aresetn]
connect_bd_net -net proc_sys_reset_1_peripheral_aresetn [get_bd_pins axi_gpio_8/s_axi_aresetn] [get_bd_pins proc_sys_reset_1/peripheral_aresetn]
connect_bd_net -net reset_rtl_0_1 [get_bd_ports reset_rtl_0] [get_bd_pins xdma_0/sys_rst_n]
connect_bd_net -net util_ds_buf_0_BUFGCE_O [get_bd_pins axi_gpio_0/s_axi_aclk] [get_bd_pins c_counter_binary_9/CLK] [get_bd_pins proc_sys_reset_0/slowest_sync_clk] [get_bd_pins smartconnect_0/aclk1] [get_bd_pins util_ds_buf_0/BUFG_O]
connect_bd_net -net util_ds_buf_IBUF_DS_ODIV2 [get_bd_pins util_ds_buf/IBUF_DS_ODIV2] [get_bd_pins xdma_0/sys_clk]
connect_bd_net -net util_ds_buf_IBUF_OUT [get_bd_pins util_ds_buf/IBUF_OUT] [get_bd_pins xdma_0/sys_clk_gt]
connect_bd_net -net util_vector_logic_0_Res [get_bd_ports gpio_io_o_0] [get_bd_pins util_vector_logic_0/Res]
connect_bd_net -net util_vector_logic_1_Res [get_bd_ports gpio_io_o_1] [get_bd_pins util_vector_logic_1/Res]
connect_bd_net -net xdma_0_axi_aclk [get_bd_pins axi_bram_ctrl_0/s_axi_aclk] [get_bd_pins axi_gpio_1/s_axi_aclk] [get_bd_pins axi_gpio_2/s_axi_aclk] [get_bd_pins axi_gpio_3/s_axi_aclk] [get_bd_pins axi_gpio_4/s_axi_aclk] [get_bd_pins axi_gpio_5/s_axi_aclk] [get_bd_pins axi_gpio_6/s_axi_aclk] [get_bd_pins axi_gpio_7/s_axi_aclk] [get_bd_pins axi_gpio_9/s_axi_aclk] [get_bd_pins c_counter_binary_0/CLK] [get_bd_pins c_counter_binary_8/CLK] [get_bd_pins clk_wiz_0/clk_in1] [get_bd_pins debug_bridge_0/clk] [get_bd_pins jtag_axi_0/aclk] [get_bd_pins smartconnect_0/aclk] [get_bd_pins xdma_0/axi_aclk]
connect_bd_net -net xdma_0_axi_aresetn [get_bd_pins axi_bram_ctrl_0/s_axi_aresetn] [get_bd_pins axi_gpio_1/s_axi_aresetn] [get_bd_pins axi_gpio_2/s_axi_aresetn] [get_bd_pins axi_gpio_3/s_axi_aresetn] [get_bd_pins axi_gpio_4/s_axi_aresetn] [get_bd_pins axi_gpio_5/s_axi_aresetn] [get_bd_pins axi_gpio_6/s_axi_aresetn] [get_bd_pins axi_gpio_7/s_axi_aresetn] [get_bd_pins axi_gpio_9/s_axi_aresetn] [get_bd_pins clk_wiz_0/resetn] [get_bd_pins jtag_axi_0/aresetn] [get_bd_pins proc_sys_reset_0/ext_reset_in] [get_bd_pins proc_sys_reset_1/ext_reset_in] [get_bd_pins smartconnect_0/aresetn] [get_bd_pins xdma_0/axi_aresetn]
connect_bd_net -net xlconcat_1_dout [get_bd_pins axi_gpio_1/gpio_io_i] [get_bd_pins xlconcat_1/dout]
connect_bd_net -net xlconcat_2_dout [get_bd_pins axi_gpio_2/gpio_io_i] [get_bd_pins xlconcat_2/dout]
connect_bd_net -net xlconcat_3_dout [get_bd_pins axi_gpio_3/gpio_io_i] [get_bd_pins xlconcat_3/dout]
connect_bd_net -net xlconcat_7_dout [get_bd_pins axi_gpio_7/gpio_io_i] [get_bd_pins xlconcat_7/dout]
connect_bd_net -net xlslice_0_Dout [get_bd_pins util_ds_buf_0/BUFG_I] [get_bd_pins xlslice_0/Dout]
connect_bd_net -net xlslice_1_Dout1 [get_bd_pins xlconcat_1/In1] [get_bd_pins xlslice_1/Dout]
connect_bd_net -net xlslice_2_Dout [get_bd_pins xlconcat_2/In1] [get_bd_pins xlslice_2/Dout]
connect_bd_net -net xlslice_3_Dout [get_bd_pins xlconcat_3/In1] [get_bd_pins xlslice_3/Dout]
connect_bd_net -net xlslice_7_Dout [get_bd_pins xlconcat_7/In1] [get_bd_pins xlslice_7/Dout]
connect_bd_net -net xlslice_9_Dout [get_bd_pins xlconcat_1/In0] [get_bd_pins xlconcat_2/In0] [get_bd_pins xlconcat_3/In0] [get_bd_pins xlconcat_7/In0] [get_bd_pins xlslice_8/Dout]
# Create address segments
assign_bd_address -offset 0xC0000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_bram_ctrl_0/S_AXI/Mem0] -force
assign_bd_address -offset 0x40000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_0/S_AXI/Reg] -force
assign_bd_address -offset 0x40010000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_1/S_AXI/Reg] -force
assign_bd_address -offset 0x40020000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_2/S_AXI/Reg] -force
assign_bd_address -offset 0x40030000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_3/S_AXI/Reg] -force
assign_bd_address -offset 0x40040000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_4/S_AXI/Reg] -force
assign_bd_address -offset 0x40050000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_5/S_AXI/Reg] -force
assign_bd_address -offset 0x40060000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_6/S_AXI/Reg] -force
assign_bd_address -offset 0x40070000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_7/S_AXI/Reg] -force
assign_bd_address -offset 0x40080000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_8/S_AXI/Reg] -force
assign_bd_address -offset 0x40090000 -range 0x00010000 -target_address_space [get_bd_addr_spaces jtag_axi_0/Data] [get_bd_addr_segs axi_gpio_9/S_AXI/Reg] -force
assign_bd_address -offset 0xC0000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_bram_ctrl_0/S_AXI/Mem0] -force
assign_bd_address -offset 0x40000000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_0/S_AXI/Reg] -force
assign_bd_address -offset 0x40010000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_1/S_AXI/Reg] -force
assign_bd_address -offset 0x40020000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_2/S_AXI/Reg] -force
assign_bd_address -offset 0x40030000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_3/S_AXI/Reg] -force
assign_bd_address -offset 0x40040000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_4/S_AXI/Reg] -force
assign_bd_address -offset 0x40050000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_5/S_AXI/Reg] -force
assign_bd_address -offset 0x40060000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_6/S_AXI/Reg] -force
assign_bd_address -offset 0x40070000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_7/S_AXI/Reg] -force
assign_bd_address -offset 0x40080000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_8/S_AXI/Reg] -force
assign_bd_address -offset 0x40090000 -range 0x00010000 -target_address_space [get_bd_addr_spaces xdma_0/M_AXI] [get_bd_addr_segs axi_gpio_9/S_AXI/Reg] -force
# Perform GUI Layout
regenerate_bd_layout -layout_string {
"ActiveEmotionalView":"Default View",
"Default View_ScaleFactor":"0.622855",
"Default View_TopLeft":"-398,390",
"ExpandedHierarchyInLayout":"",
"guistr":"# # String gsaved with Nlview 7.0r4 2019-12-20 bk=1.5203 VDI=41 GEI=36 GUI=JA:10.0 TLS
# -string -flagsOSRD
preplace port pcie_7x_mgt_rtl_0 -pg 1 -lvl 8 -x 2270 -y 1900 -defaultsOSRD
preplace port diff_clock_rtl_0 -pg 1 -lvl 0 -x 0 -y 2040 -defaultsOSRD
preplace port port-id_reset_rtl_0 -pg 1 -lvl 0 -x 0 -y 1970 -defaultsOSRD
preplace portBus gpio_io_o_0 -pg 1 -lvl 8 -x 2270 -y 1480 -defaultsOSRD
preplace portBus gpio_io_o_1 -pg 1 -lvl 8 -x 2270 -y 1640 -defaultsOSRD
preplace inst xdma_0 -pg 1 -lvl 4 -x 960 -y 1960 -defaultsOSRD
preplace inst util_ds_buf -pg 1 -lvl 3 -x 610 -y 2040 -defaultsOSRD
preplace inst smartconnect_0 -pg 1 -lvl 5 -x 1350 -y 1230 -defaultsOSRD
preplace inst axi_bram_ctrl_0 -pg 1 -lvl 6 -x 1800 -y 1150 -defaultsOSRD
preplace inst axi_bram_ctrl_0_bram -pg 1 -lvl 7 -x 2100 -y 1150 -defaultsOSRD
preplace inst axi_gpio_0 -pg 1 -lvl 6 -x 1800 -y 1630 -defaultsOSRD
preplace inst c_counter_binary_9 -pg 1 -lvl 5 -x 1350 -y 1640 -defaultsOSRD
preplace inst xlslice_0 -pg 1 -lvl 3 -x 610 -y 1340 -defaultsOSRD
preplace inst util_ds_buf_0 -pg 1 -lvl 4 -x 960 -y 1340 -defaultsOSRD
preplace inst clk_wiz_0 -pg 1 -lvl 1 -x 130 -y 610 -defaultsOSRD
preplace inst util_vector_logic_0 -pg 1 -lvl 7 -x 2100 -y 1480 -defaultsOSRD
preplace inst util_vector_logic_1 -pg 1 -lvl 7 -x 2100 -y 1640 -defaultsOSRD
preplace inst c_counter_binary_1 -pg 1 -lvl 2 -x 360 -y 200 -defaultsOSRD
preplace inst c_counter_binary_2 -pg 1 -lvl 2 -x 360 -y 320 -defaultsOSRD
preplace inst c_counter_binary_3 -pg 1 -lvl 2 -x 360 -y 440 -defaultsOSRD
preplace inst c_counter_binary_4 -pg 1 -lvl 4 -x 960 -y 860 -defaultsOSRD
preplace inst proc_sys_reset_0 -pg 1 -lvl 5 -x 1350 -y 1800 -defaultsOSRD
preplace inst proc_sys_reset_1 -pg 1 -lvl 5 -x 1350 -y 1500 -defaultsOSRD
preplace inst debug_bridge_0 -pg 1 -lvl 5 -x 1350 -y 1970 -defaultsOSRD
preplace inst jtag_axi_0 -pg 1 -lvl 4 -x 960 -y 1200 -defaultsOSRD
preplace inst c_counter_binary_5 -pg 1 -lvl 4 -x 960 -y 640 -defaultsOSRD
preplace inst c_counter_binary_6 -pg 1 -lvl 4 -x 960 -y 540 -defaultsOSRD
preplace inst c_counter_binary_7 -pg 1 -lvl 2 -x 360 -y 700 -defaultsOSRD
preplace inst axi_gpio_1 -pg 1 -lvl 5 -x 1350 -y 80 -defaultsOSRD -orient R180
preplace inst axi_gpio_2 -pg 1 -lvl 5 -x 1350 -y 220 -defaultsOSRD -orient R180
preplace inst axi_gpio_3 -pg 1 -lvl 5 -x 1350 -y 360 -defaultsOSRD -orient R180
preplace inst axi_gpio_4 -pg 1 -lvl 5 -x 1350 -y 970 -defaultsOSRD -orient R180
preplace inst axi_gpio_5 -pg 1 -lvl 5 -x 1350 -y 670 -defaultsOSRD -orient R180
preplace inst axi_gpio_6 -pg 1 -lvl 5 -x 1350 -y 510 -defaultsOSRD -orient R180
preplace inst axi_gpio_7 -pg 1 -lvl 5 -x 1350 -y 820 -defaultsOSRD -orient R180
preplace inst xlslice_1 -pg 1 -lvl 3 -x 610 -y 200 -defaultsOSRD
preplace inst xlslice_2 -pg 1 -lvl 3 -x 610 -y 320 -defaultsOSRD
preplace inst xlconcat_2 -pg 1 -lvl 4 -x 960 -y 310 -defaultsOSRD
preplace inst xlconcat_3 -pg 1 -lvl 4 -x 960 -y 430 -defaultsOSRD
preplace inst xlslice_3 -pg 1 -lvl 3 -x 610 -y 440 -defaultsOSRD
preplace inst xlslice_7 -pg 1 -lvl 3 -x 610 -y 700 -defaultsOSRD
preplace inst xlconcat_7 -pg 1 -lvl 4 -x 960 -y 750 -defaultsOSRD
preplace inst axi_gpio_8 -pg 1 -lvl 6 -x 1800 -y 1470 -defaultsOSRD
preplace inst axi_gpio_9 -pg 1 -lvl 6 -x 1800 -y 1320 -defaultsOSRD
preplace inst xlconcat_1 -pg 1 -lvl 4 -x 960 -y 190 -defaultsOSRD
preplace inst c_counter_binary_8 -pg 1 -lvl 2 -x 360 -y 1240 -defaultsOSRD
preplace inst xlslice_8 -pg 1 -lvl 3 -x 610 -y 800 -defaultsOSRD
preplace inst c_counter_binary_0 -pg 1 -lvl 2 -x 360 -y 1340 -defaultsOSRD
preplace netloc axi_gpio_0_gpio_io_o 1 6 1 NJ 1640
preplace netloc axi_gpio_8_gpio_io_o 1 6 1 NJ 1480
preplace netloc c_counter_binary_1_Q 1 2 1 NJ 200
preplace netloc c_counter_binary_2_Q 1 2 1 NJ 320
preplace netloc c_counter_binary_3_Q 1 2 1 NJ 440
preplace netloc c_counter_binary_7_Q 1 2 1 NJ 700
preplace netloc c_counter_binary_8_Q 1 2 5 460 920 NJ 920 1170 1060 NJ 1060 1950
preplace netloc c_counter_binary_9_Q 1 2 1 NJ 1340
preplace netloc c_counter_binary_9_Q1 1 5 2 1540J 1710 1950
preplace netloc clk_wiz_0_clk_out1 1 1 1 230 200n
preplace netloc clk_wiz_0_clk_out2 1 1 1 240 320n
preplace netloc clk_wiz_0_clk_out3 1 1 1 250 440n
preplace netloc clk_wiz_0_clk_out4 1 1 3 NJ 610 NJ 610 790J
preplace netloc clk_wiz_0_clk_out5 1 1 5 NJ 630 NJ 630 770 930 1130 1400 1550
preplace netloc clk_wiz_0_clk_out7 1 1 3 260J 540 NJ 540 NJ
preplace netloc clk_wiz_0_clk_out8 1 1 1 240J 670n
preplace netloc proc_sys_reset_0_peripheral_aresetn 1 5 1 1630 1650n
preplace netloc proc_sys_reset_1_peripheral_aresetn 1 5 1 1640 1490n
preplace netloc reset_rtl_0_1 1 0 4 NJ 1970 NJ 1970 NJ 1970 NJ
preplace netloc util_ds_buf_0_BUFGCE_O 1 4 2 1170 1700 1590J
preplace netloc util_ds_buf_IBUF_DS_ODIV2 1 3 1 770 1930n
preplace netloc util_ds_buf_IBUF_OUT 1 3 1 790 1950n
preplace netloc util_vector_logic_0_Res 1 7 1 NJ 1480
preplace netloc util_vector_logic_1_Res 1 7 1 NJ 1640
preplace netloc xdma_0_axi_aclk 1 0 6 30 1180 250 1180 NJ 1180 760 1270 1160 1390 1600
preplace netloc xdma_0_axi_aresetn 1 0 6 20 1130 NJ 1130 NJ 1130 780 1130 1140 1070 1640
preplace netloc xlconcat_1_dout 1 4 1 1170 70n
preplace netloc xlconcat_2_dout 1 4 1 1170 210n
preplace netloc xlconcat_3_dout 1 4 1 1170 350n
preplace netloc xlconcat_7_dout 1 4 1 1130 750n
preplace netloc xlslice_0_Dout 1 3 1 NJ 1340
preplace netloc xlslice_1_Dout1 1 3 1 NJ 200
preplace netloc xlslice_2_Dout 1 3 1 NJ 320
preplace netloc xlslice_3_Dout 1 3 1 NJ 440
preplace netloc xlslice_7_Dout 1 3 1 780 700n
preplace netloc xlslice_9_Dout 1 3 1 760 180n
preplace netloc c_counter_binary_4_Q 1 4 1 1140 860n
preplace netloc c_counter_binary_5_Q 1 4 1 NJ 640
preplace netloc c_counter_binary_6_Q 1 4 1 1130 480n
preplace netloc axi_bram_ctrl_0_BRAM_PORTA 1 6 1 NJ 1150
preplace netloc diff_clock_rtl_0_1 1 0 3 NJ 2040 NJ 2040 NJ
preplace netloc jtag_axi_0_M_AXI 1 4 1 N 1200
preplace netloc smartconnect_0_M00_AXI 1 5 1 N 1130
preplace netloc smartconnect_0_M01_AXI 1 5 1 1570 100n
preplace netloc smartconnect_0_M02_AXI 1 5 1 1560 240n
preplace netloc smartconnect_0_M03_AXI 1 5 1 1550 380n
preplace netloc smartconnect_0_M04_AXI 1 5 1 1530 990n
preplace netloc smartconnect_0_M05_AXI 1 5 1 1540 690n
preplace netloc smartconnect_0_M06_AXI 1 5 1 1590 530n
preplace netloc smartconnect_0_M07_AXI 1 5 1 1580 840n
preplace netloc smartconnect_0_M08_AXI 1 5 1 1620 1290n
preplace netloc smartconnect_0_M09_AXI 1 5 1 1630 1310n
preplace netloc smartconnect_0_M10_AXI 1 5 1 1610 1300n
preplace netloc xdma_0_M_AXI 1 4 1 1150 1180n
preplace netloc xdma_0_pcie_cfg_ext 1 4 1 1170 1920n
preplace netloc xdma_0_pcie_mgt 1 4 4 NJ 1900 NJ 1900 NJ 1900 NJ
levelinfo -pg 1 0 130 360 610 960 1350 1800 2100 2270
pagesize -pg 1 -db -bbox -sgen -160 0 2450 2110
"
}
# Restore current instance
current_bd_instance $oldCurInst
validate_bd_design
save_bd_design
close_bd_design $design_name
}
# End of cr_bd_xdma()
cr_bd_xdma ""
set_property REGISTERED_WITH_MANAGER "1" [get_files xdma.bd ]
set_property SYNTH_CHECKPOINT_MODE "Hierarchical" [get_files xdma.bd ]
#call make_wrapper to create wrapper files
if { [get_property IS_LOCKED [ get_files -norecurse xdma.bd] ] == 1 } {
import_files -fileset sources_1 [file normalize "${origin_dir}/slow_clock_tests/slow_clock_tests.gen/sources_1/bd/xdma/hdl/xdma_wrapper.v" ]
} else {
set wrapper_path [make_wrapper -fileset sources_1 -files [ get_files -norecurse xdma.bd] -top]
add_files -norecurse -fileset sources_1 $wrapper_path
}
set idrFlowPropertiesConstraints ""
catch {
set idrFlowPropertiesConstraints [get_param runs.disableIDRFlowPropertyConstraints]
set_param runs.disableIDRFlowPropertyConstraints 1
}
# Create 'synth_1' run (if not found)
if {[string equal [get_runs -quiet synth_1] ""]} {
create_run -name synth_1 -part xcku15p-ffve1517-2-i -flow {Vivado Synthesis 2022} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1
} else {
set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1]
set_property flow "Vivado Synthesis 2022" [get_runs synth_1]
}
set obj [get_runs synth_1]
set_property set_report_strategy_name 1 $obj
set_property report_strategy {Vivado Synthesis Default Reports} $obj
set_property set_report_strategy_name 0 $obj
# Create 'synth_1_synth_report_utilization_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } {
create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1
}
set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0]
if { $obj != "" } {
}
set obj [get_runs synth_1]
set_property -name "part" -value "xcku15p-ffve1517-2-i" -objects $obj
set_property -name "auto_incremental_checkpoint" -value "1" -objects $obj
set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj
# set the current synth run
current_run -synthesis [get_runs synth_1]
# Create 'impl_1' run (if not found)
if {[string equal [get_runs -quiet impl_1] ""]} {
create_run -name impl_1 -part xcku15p-ffve1517-2-i -flow {Vivado Implementation 2022} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1
} else {
set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
set_property flow "Vivado Implementation 2022" [get_runs impl_1]
}
set obj [get_runs impl_1]
set_property set_report_strategy_name 1 $obj
set_property report_strategy {Vivado Implementation Default Reports} $obj
set_property set_report_strategy_name 0 $obj
# Create 'impl_1_init_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_opt_report_drc_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } {
create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0]
if { $obj != "" } {
}
# Create 'impl_1_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_place_report_io_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } {
create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0]
if { $obj != "" } {
}
# Create 'impl_1_place_report_utilization_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } {
create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0]
if { $obj != "" } {
}
# Create 'impl_1_place_report_control_sets_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } {
create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0]
if { $obj != "" } {
set_property -name "options.verbose" -value "1" -objects $obj
}
# Create 'impl_1_place_report_incremental_reuse_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } {
create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
}
# Create 'impl_1_place_report_incremental_reuse_1' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } {
create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
}
# Create 'impl_1_place_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found)
if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } {
create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1
}
set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0]
if { $obj != "" } {
set_property -name "is_enabled" -value "0" -objects $obj
set_property -name "options.max_paths" -value "10" -objects $obj
set_property -name "options.report_unconstrained" -value "1" -objects $obj
}
# Create 'impl_1_route_report_drc_0' report (if not found)