{"payload":{"header_redesign_enabled":false,"results":[{"id":"333424837","archived":false,"color":"#b2b7f8","followers":10,"has_funding_file":false,"hl_name":"sascha-kirch/Bit_Error_Tester","hl_trunc_description":"This project implements a bit error rate tester. A PRBS (pseudo random bit sequence) is generated that can feed the DUT. The receiver com…","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":333424837,"name":"Bit_Error_Tester","owner_id":72045951,"owner_login":"sascha-kirch","updated_at":"2022-06-02T14:29:16.947Z","has_issues":true}},"sponsorable":false,"topics":["fpga","verilog","prbs-generator","pll","bit-error-rate","clock-generator"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":54,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Asascha-kirch%252FBit_Error_Tester%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/sascha-kirch/Bit_Error_Tester/star":{"post":"ln_rtCiHBKUaWEnjBKrmnBXodVXvs3hIutgf6XB7TwwADnB9EZklVYISEQTY-CPCeTjlaJ83Z8fG1UlFNkxdEQ"},"/sascha-kirch/Bit_Error_Tester/unstar":{"post":"hO_pHE02Qtg3uezELMUcmP3ZMkBDPpHwpFn3LRmdGBR-_7TC3edqXBbqHzWhzALtLMLg4nzsMJeSehgbxfE76A"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"dTcmV8Ylp2Z1y4NSL5weg3piLuHwHRuGeLOcIl325NePxCDjxgqu-LBVkffKe7vT4LFVfHBcJ5kHPsyM2cgpfQ"}}},"title":"Repository search results"}