Skip to content

Commit

Permalink
Remove core_flushing signal
Browse files Browse the repository at this point in the history
  • Loading branch information
tilk committed Nov 26, 2024
1 parent 1e4936c commit 32b3beb
Showing 1 changed file with 2 additions and 4 deletions.
6 changes: 2 additions & 4 deletions coreblocks/backend/retirement.py
Original file line number Diff line number Diff line change
Expand Up @@ -114,7 +114,6 @@ def flush_instr(rob_entry):

continue_pc_override = Signal()
continue_pc = Signal(self.gen_params.isa.xlen)
core_flushing = Signal()

with m.FSM("NORMAL") as fsm:
with m.State("NORMAL"):
Expand Down Expand Up @@ -197,8 +196,6 @@ def flush_instr(rob_entry):
with m.If(core_empty):
m.next = "TRAP_RESUME"

m.d.comb += core_flushing.eq(1)

with m.State("TRAP_RESUME"):
with Transaction().body(m):
# Resume core operation
Expand All @@ -219,7 +216,8 @@ def flush_instr(rob_entry):
m.next = "NORMAL"

# Disable executing any side effects from instructions in core when it is flushed
m.d.comb += side_fx.eq(~fsm.ongoing("TRAP_FLUSH"))
core_flushing = fsm.ongoing("TRAP_FLUSH")
m.d.comb += side_fx.eq(~core_flushing)

@def_method(m, self.core_state)
def _():
Expand Down

0 comments on commit 32b3beb

Please sign in to comment.