Skip to content

Commit

Permalink
generalized nvc warning suppression to any shared variable name
Browse files Browse the repository at this point in the history
  • Loading branch information
soronpo committed Oct 1, 2024
1 parent ec6c16b commit 1f3381e
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion lib/src/main/scala/dfhdl/tools/toolsCore/NVC.scala
Original file line number Diff line number Diff line change
Expand Up @@ -67,7 +67,7 @@ object NVC extends VHDLLinter:
val warningSuppressLogger = ProcessLogger(
(out: String) => println(out), // stdout - print directly
(err: String) =>
if (err.startsWith("** Warning: shared variable RAM must have protected type"))
if (err.matches(".*Warning: shared variable .* must have protected type"))
// Start accumulating lines that belong to the warning
insideWarning = true
warningBuffer += err
Expand Down

0 comments on commit 1f3381e

Please sign in to comment.