Skip to content

NiJen/sphinxcontrib-vhdldomain

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

7 Commits
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

sphinxcontrib-vhdldomain

This sphinx extension adds a new language domain to Sphinx. It allows the documentation of VHDL source files.

License: Apache 2.0

VHDL Domain

Directives

Library

.. vhdl:library:: myLibrary

   Description text

Design Unit Directives

Context

.. vhdl:context:: myContext

   Description text

Entity

.. vhdl:entity:: myEntity

   Description text

Architecture

.. vhdl:architecture:: rtl
   :entity: myEntity

   Description text

Package

.. vhdl:package:: myPackage

   Description text

Package Body

.. vhdl:packagebody:: myPackage

   Description text

Configuration

.. vhdl:package:: myConfiguration

   Description text

Nested Directives

UseClause

.. vhdl:use:: IEEE.std_logic_1164.all

   Description text

ContextClause

.. vhdl:ctx:: work.myContext

   Description text

EnumerationType

.. vhdl:enum:: myState
   :literals: IDLE, WORKING, ERROR

   Description text

IntegerType

.. vhdl:integer:: myInteger
   :range: 0 to 255

   Description text

PhysicalType

.. vhdl:physical:: myFrequency
   :primary: Hz
   :secondary: kHz=1000 Hz, MHz=1000kHz, GHz=1000MHz

   Description text

FloatingType

.. vhdl:float:: myReal
   :range: 0.0 to 1.0

   Description text

ArrayType

.. vhdl:array:: myArray
   :elementType: bit
   :indexTypes: natural range <>, natural range <>

   Description text

RecordType

.. vhdl:record:: myRecord
   :elements: element1:string, element2:integer

   Description text

Access Type

File Type

Protected Type

.. vhdl:protected:: myProtected

   Description text

SubType

Alias

Constant

.. vhdl:constant:: myConstant
   :type:
   :init:

   Description text

Signal

.. vhdl:signal:: mySignal
   :type:
   :init:

   Description text

SharedVariable

.. vhdl:sharedvar:: mySharedVariable
   :type:

   Description text

Function

.. vhdl:function:: function myfunction(a : integer) return string;
   :method:
   :pure:
   :impure:

   Description text

Procedure

.. vhdl:procedure:: myprocedure(a : integer)
   :method:

   Description text

Parameter

.. vhdl:parameter:: a : integer

   Description text

Return Type

.. vhdl:returntype:: string

   Description text

Roles

Design Unit Roles

Context

Entity

Architecture

Package

Configuration

Other Roles

Type

Function

Procedure

Subprogram

Indices

EntityIndex

PackageIndex

TypeIndex

About

A Sphinx domain for VHDL

Resources

License

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Python 100.0%