Skip to content
@apfelaudio

apfelaudio

Educational open music hardware.

Pinned Loading

  1. eurorack-pmod eurorack-pmod Public

    A eurorack-friendly audio frontend compatible with many FPGA boards.

    SystemVerilog 167 8

  2. tiliqua tiliqua Public

    A standalone FPGA-based audio multitool in a Eurorack module.

    Python 12 1

  3. verilog-vcvrack verilog-vcvrack Public

    An example of simulating Verilog / FPGA gateware inside a VCV Rack plugin.

    C++ 37 2

  4. eurorack-pmod-usb-soundcard eurorack-pmod-usb-soundcard Public

    Example of using a eurorack-pmod as an 8-channel (4in + 4out) USB sound card, based on the LUNA project.

    Python 3

Repositories

Showing 5 of 5 repositories
  • tiliqua Public

    A standalone FPGA-based audio multitool in a Eurorack module.

    apfelaudio/tiliqua’s past year of commit activity
    Python 12 CERN-OHL-S-2.0 1 0 0 Updated Jun 29, 2024
  • eurorack-pmod Public

    A eurorack-friendly audio frontend compatible with many FPGA boards.

    apfelaudio/eurorack-pmod’s past year of commit activity
    SystemVerilog 167 8 3 0 Updated Jun 28, 2024
  • eurorack-pmod-usb-soundcard Public

    Example of using a eurorack-pmod as an 8-channel (4in + 4out) USB sound card, based on the LUNA project.

    apfelaudio/eurorack-pmod-usb-soundcard’s past year of commit activity
    Python 3 BSD-3-Clause 0 0 0 Updated Apr 15, 2024
  • eurorack-pmod-litex Public

    Audio DSP on an FPGA using eurorack-pmod + LiteX with firmware in Rust.

    apfelaudio/eurorack-pmod-litex’s past year of commit activity
    Python 6 2 0 0 Updated Apr 10, 2024
  • verilog-vcvrack Public

    An example of simulating Verilog / FPGA gateware inside a VCV Rack plugin.

    apfelaudio/verilog-vcvrack’s past year of commit activity
    C++ 37 2 0 0 Updated Apr 7, 2024

Top languages

Loading…

Most used topics

Loading…