Skip to content

Commit

Permalink
[PERFECITIVE] Updated files for release.
Browse files Browse the repository at this point in the history
  • Loading branch information
Esko Pekkarinen committed Jun 12, 2018
1 parent e9f65ac commit f8c2fb8
Show file tree
Hide file tree
Showing 7 changed files with 67 additions and 41 deletions.
34 changes: 34 additions & 0 deletions Administrative/releaseFiles/release_notes.txt
Original file line number Diff line number Diff line change
Expand Up @@ -8,6 +8,40 @@
* Changed or Information
===============================================================================

12/06/2018 3.6.0
----------------------------------------------------

+ Added support to run Kactus2 from command-line without GUI
+ Added editor for port type definitions
+ Added support for multiple abstraction definitions in a bus interface
+ Improvements to Memory Designer
* Improved item scaling in non-compressed mode
* Improved search through hierarchies
* Improved visulization for multiple address spaces connected to one memory map
+ Added feature to save HW, System and Memory Designer view as a PNG, JPG or SVG image
+ Improved expression parsing
* Support for exp, pow and sqrt functions
* Better suppport for string expressions and their comparison
* Faster parsing algorithm
+ Added Linux Device Tree Generator plugin
+ IP-XACT library handling improved for better performance and readability
+ HW and System design area size now adjusts to contents

- Restored automatic item selection in library view when component instance is selected
- Fixed addressSpaceRef-attribute parsing and writing
- Fixed export dialog from hierarchy view
- Fixed missing type information in VHDL generation
- Fixed error in entity parsing in VHDL import
- Fixed a crash when creating a new HW Design
- Fixed a crash in saving user settings for code editor
- Fixed an issue where Component file set directories were lost on refresh

* Changed binary name to kactus2 (previously Kactus2) in Linux
* Enabled C++11 by default in Linux compilation
* Improved Linux installation in user-specified directory
* Migrated to Qt 5.10.1
* Migrated to VS2017

23/11/2017 3.5.0
----------------------------------------------------

Expand Down
31 changes: 14 additions & 17 deletions Administrative/releaseFiles/setupx64.nsi
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

; HM NIS Edit Wizard helper defines
!define PRODUCT_NAME "Kactus2"
!define PRODUCT_VERSION "3.5.0 64-bit"
!define PRODUCT_VERSION "3.6.0 64-bit"
!define PRODUCT_PUBLISHER "TUT"
!define PRODUCT_DIR_REGKEY "Software\Microsoft\Windows\CurrentVersion\App Paths\Kactus2.exe"
!define PRODUCT_UNINST_KEY "Software\Microsoft\Windows\CurrentVersion\Uninstall\${PRODUCT_NAME}"
Expand Down Expand Up @@ -57,7 +57,6 @@ ShowUnInstDetails show
Section "MainSection" SEC01
SetOutPath "$INSTDIR"
SetOverwrite on
File "..\..\x64\executable\Qt5CLucene.dll"
File "..\..\x64\executable\Qt5Core.dll"
File "..\..\x64\executable\Qt5Gui.dll"
File "..\..\x64\executable\Qt5Help.dll"
Expand All @@ -67,12 +66,13 @@ Section "MainSection" SEC01
File "..\..\x64\executable\Qt5Widgets.dll"
File "..\..\x64\executable\Qt5Xml.dll"
File "..\..\x64\executable\Qt5XmlPatterns.dll"
File "..\..\x64\executable\Qt5Svg.dll"
File "..\..\x64\executable\libEGL.dll"
File "..\..\x64\executable\libGLESv2.dll"
File "..\..\x64\executable\icuin50.dll"
File "..\..\x64\executable\icudt50.dll"
File "..\..\x64\executable\icuuc50.dll"
File "..\..\x64\executable\D3DCompiler_43.dll"
File "..\..\x64\executable\icuin51.dll"
File "..\..\x64\executable\icudt51.dll"
File "..\..\x64\executable\icuuc51.dll"
File "..\..\x64\executable\d3dcompiler_47.dll"
File "..\..\x64\executable\IPXACTmodels.dll"
File "..\..\x64\executable\Kactus2.exe"
File "gpl2.txt"
Expand All @@ -81,10 +81,6 @@ Section "MainSection" SEC01
File "..\..\executable\upgrade.cfg"
File /oname=DefaultSettings.ini "DefaultSettingsWin.ini"

SetOutPath "$INSTDIR\accessible"
SetOverwrite on
File "..\..\x64\executable\accessible\qtaccessiblewidgets.dll"

SetOutPath "$INSTDIR\platforms"
SetOverwrite on
File "..\..\x64\executable\platforms\qminimal.dll"
Expand Down Expand Up @@ -113,7 +109,8 @@ Section "MainSection" SEC01
File "..\..\x64\executable\Plugins\VerilogSourceAnalyzer.dll"
File "..\..\x64\executable\Plugins\VHDLImport.dll"
File "..\..\x64\executable\Plugins\MemoryViewGenerator.dll"

File "..\..\x64\executable\Plugins\LinuxDeviceTreeGenerator.dll"

SetOutPath "$INSTDIR\Help"
SetOverwrite on
File "..\..\Help\Kactus2Help.qhc"
Expand Down Expand Up @@ -212,6 +209,7 @@ Section Uninstall
Delete "$INSTDIR\Help\Kactus2Help.qch"
Delete "$INSTDIR\Help\Kactus2Help.qhc"
Delete "$INSTDIR\Plugins\VHDLImport.dll"
Delete "$INSTDIR\Plugins\LinuxDeviceTreeGenerator.dll"
Delete "$INSTDIR\Plugins\MemoryViewGenerator.dll"
Delete "$INSTDIR\Plugins\VerilogImport.dll"
Delete "$INSTDIR\Plugins\VHDLGenerator.dll"
Expand All @@ -231,20 +229,20 @@ Section Uninstall
Delete "$INSTDIR\sqldrivers\qsqlite.dll"
Delete "$INSTDIR\platforms\qminimal.dll"
Delete "$INSTDIR\platforms\qwindows.dll"
Delete "$INSTDIR\accessible\qtaccessiblewidgets.dll"
Delete "$INSTDIR\DefaultSettings.ini"
Delete "$INSTDIR\upgrade.cfg"
Delete "$INSTDIR\README.md"
Delete "$INSTDIR\release_notes.txt"
Delete "$INSTDIR\gpl2.txt"
Delete "$INSTDIR\Kactus2.exe"
Delete "$INSTDIR\IPXACTmodels.dll"
Delete "$INSTDIR\D3DCompiler_43.dll"
Delete "$INSTDIR\icuuc50.dll"
Delete "$INSTDIR\icudt50.dll"
Delete "$INSTDIR\icuin50.dll"
Delete "$INSTDIR\d3dcompiler_47.dll"
Delete "$INSTDIR\icuuc51.dll"
Delete "$INSTDIR\icudt51.dll"
Delete "$INSTDIR\icuin51.dll"
Delete "$INSTDIR\libGLESv2.dll"
Delete "$INSTDIR\libEGL.dll"
Delete "$INSTDIR\Qt5Svg.dll"
Delete "$INSTDIR\Qt5XmlPatterns.dll"
Delete "$INSTDIR\Qt5Xml.dll"
Delete "$INSTDIR\Qt5Widgets.dll"
Expand All @@ -254,7 +252,6 @@ Section Uninstall
Delete "$INSTDIR\Qt5Help.dll"
Delete "$INSTDIR\Qt5Gui.dll"
Delete "$INSTDIR\Qt5Core.dll"
Delete "$INSTDIR\Qt5CLucene.dll"

Delete "$SMPROGRAMS\Kactus2\Uninstall.lnk"
Delete "$DESKTOP\Kactus2.lnk"
Expand Down
5 changes: 0 additions & 5 deletions Plugins/LinuxDeviceTree/LinuxDeviceTreeGenerator.pri
Original file line number Diff line number Diff line change
Expand Up @@ -2,11 +2,6 @@
# This file is generated by the Qt Visual Studio Tools.
# ------------------------------------------------------

# This is a reminder that you are using a generated .pro file.
# Remove it when you are finished editing this file.
message("You are running qmake on a generated .pro file. This may not work!")


HEADERS += ../../editors/ComponentEditor/common/ComponentParameterFinder.h \
../../editors/ComponentEditor/common/IPXactSystemVerilogParser.h \
../../editors/ComponentEditor/common/ListParameterFinder.h \
Expand Down
11 changes: 6 additions & 5 deletions Plugins/LinuxDeviceTree/LinuxDeviceTreeGenerator.vcxproj
Original file line number Diff line number Diff line change
Expand Up @@ -36,6 +36,7 @@
</PropertyGroup>
<PropertyGroup Condition="'$(Configuration)|$(Platform)'=='Release|x64'" Label="Configuration">
<ConfigurationType>DynamicLibrary</ConfigurationType>
<PlatformToolset>v141</PlatformToolset>
</PropertyGroup>
<Import Project="$(VCTargetsPath)\Microsoft.Cpp.props" />
<ImportGroup Label="ExtensionSettings">
Expand Down Expand Up @@ -113,7 +114,7 @@
</ItemDefinitionGroup>
<ItemDefinitionGroup Condition="'$(Configuration)|$(Platform)'=='Release|x64'">
<ClCompile>
<PreprocessorDefinitions>MEMORYVIEWGENERATOR_LIB;NDEBUG;QT_CORE_LIB;QT_GUI_LIB;QT_NO_DEBUG;QT_WIDGETS_LIB;UNICODE;WIN32;WIN64;QT_XML_LIB;%(PreprocessorDefinitions)</PreprocessorDefinitions>
<PreprocessorDefinitions>LINUXDEVICETREEGENERATOR_LIB;NDEBUG;QT_CORE_LIB;QT_GUI_LIB;QT_NO_DEBUG;QT_WIDGETS_LIB;UNICODE;WIN32;WIN64;QT_XML_LIB;%(PreprocessorDefinitions)</PreprocessorDefinitions>
<AdditionalIncludeDirectories>.\GeneratedFiles;$(QTDIR)\include;.\GeneratedFiles\$(Configuration);$(QTDIR)\include\qtmain;.;.\..\..;$(QTDIR)\..\qttools\include;$(QTDIR)\..\qttools\include\QtHelp;$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns;$(QTDIR)\..\qtxmlpatterns\include;$(QTDIR)\include\QtCore;$(QTDIR)\include\QtGui;$(QTDIR)\include\QtPrintSupport;$(QTDIR)\include\QtWidgets;$(QTDIR)\include\QtXml;%(AdditionalIncludeDirectories)</AdditionalIncludeDirectories>
<ObjectFileName>$(IntDir)</ObjectFileName>
<ProgramDataBaseFileName>$(IntDir)vc$(PlatformToolsetVersion).pdb</ProgramDataBaseFileName>
Expand Down Expand Up @@ -182,7 +183,7 @@
<AdditionalInputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">$(QTDIR)\bin\moc.exe;%(FullPath)</AdditionalInputs>
<Message Condition="'$(Configuration)|$(Platform)'=='Release|x64'">Moc%27ing %(Identity)...</Message>
<Outputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">.\GeneratedFiles\moc_%(Filename).cpp</Outputs>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DMEMORYVIEWGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DLINUXDEVICETREEGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
</CustomBuild>
<ClInclude Include="..\..\editors\ComponentEditor\common\ComponentParameterFinder.h" />
<ClInclude Include="..\..\editors\ComponentEditor\common\IPXactSystemVerilogParser.h" />
Expand All @@ -202,7 +203,7 @@
<AdditionalInputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">$(QTDIR)\bin\moc.exe;%(FullPath)</AdditionalInputs>
<Message Condition="'$(Configuration)|$(Platform)'=='Release|x64'">Moc%27ing %(Identity)...</Message>
<Outputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">.\GeneratedFiles\moc_%(Filename).cpp</Outputs>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DMEMORYVIEWGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DLINUXDEVICETREEGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
</CustomBuild>
<ClInclude Include="..\..\editors\ComponentEditor\common\ListParameterFinder.h" />
<ClInclude Include="..\..\editors\ComponentEditor\common\MultipleParameterFinder.h" />
Expand Down Expand Up @@ -232,7 +233,7 @@
<AdditionalInputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">$(QTDIR)\bin\moc.exe;%(FullPath)</AdditionalInputs>
<Message Condition="'$(Configuration)|$(Platform)'=='Release|x64'">Moc%27ing %(Identity)...</Message>
<Outputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">.\GeneratedFiles\moc_%(Filename).cpp</Outputs>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DMEMORYVIEWGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DLINUXDEVICETREEGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
</CustomBuild>
<ClInclude Include="..\common\HDLParser\HDLCommandLineParser.h" />
<ClInclude Include="..\PluginSystem\GeneratorPlugin\ViewSelection.h" />
Expand All @@ -254,7 +255,7 @@
<AdditionalInputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">$(QTDIR)\bin\moc.exe;%(FullPath);%(AdditionalInputs)</AdditionalInputs>
<Message Condition="'$(Configuration)|$(Platform)'=='Release|x64'">Moc%27ing LinuxDeviceTreePlugin.h...</Message>
<Outputs Condition="'$(Configuration)|$(Platform)'=='Release|x64'">.\GeneratedFiles\moc_%(Filename).cpp</Outputs>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DMEMORYVIEWGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
<Command Condition="'$(Configuration)|$(Platform)'=='Release|x64'">"$(QTDIR)\bin\moc.exe" "%(FullPath)" -o ".\GeneratedFiles\moc_%(Filename).cpp" -DLINUXDEVICETREEGENERATOR_LIB -DNDEBUG -DQT_CORE_LIB -DQT_GUI_LIB -DQT_NO_DEBUG -DQT_WIDGETS_LIB -DUNICODE -DWIN32 -DWIN64 -DQT_XML_LIB -D_WINDLL "-I.\GeneratedFiles" "-I$(QTDIR)\include" "-I.\GeneratedFiles\$(Configuration)\." "-I$(QTDIR)\include\qtmain" "-I." "-I.\..\.." "-I$(QTDIR)\..\qttools\include" "-I$(QTDIR)\..\qttools\include\QtHelp" "-I$(QTDIR)\..\qtxmlpatterns\include\QtXmlPatterns" "-I$(QTDIR)\..\qtxmlpatterns\include" "-I$(QTDIR)\include\QtCore" "-I$(QTDIR)\include\QtGui" "-I$(QTDIR)\include\QtPrintSupport" "-I$(QTDIR)\include\QtWidgets" "-I$(QTDIR)\include\QtXml"</Command>
</CustomBuild>
</ItemGroup>
<ItemGroup>
Expand Down
2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -218,7 +218,7 @@ Contributors
Antti Kamppi, Joni-Matti Määttä, Lauri Matilainen, Timo D. Hämäläinen,
Mikko Teuho, Juho Järvinen, Esko Pekkarinen, Janne Virtanen

Kactus2 is linked with Qt 5.2.0, copyright Digia Plc. (LGPL).
Kactus2 is linked with Qt 5.10.1, copyright Digia Plc. (LGPL).

Kactus2 uses [Icons8](https://icons8.com/) provided by Icons8 LLC

Expand Down
7 changes: 3 additions & 4 deletions editors/MemoryDesigner/MemoryConnectionHandler.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -593,10 +593,10 @@ void MemoryConnectionHandler::changeMasterAddressSpaceColumn(MainMemoryGraphicsI

qreal columnWidth = originalColumn->boundingRect().width();
qreal currentColumnPosition = originalColumn->pos().x() - columnWidth;
MemoryColumn* currentColumn = 0;

while (currentColumnPosition >= 0)
{
currentColumn = columnHandler_->findColumnAtPosition(QPointF(currentColumnPosition, 0));
MemoryColumn* currentColumn = columnHandler_->findColumnAtPosition(QPointF(currentColumnPosition, 0));
if (currentColumn &&
currentColumn->name().contains(MemoryDesignerConstants::ADDRESSSPACECOLUMN_NAME, Qt::CaseInsensitive))
{
Expand Down Expand Up @@ -1047,10 +1047,9 @@ void MemoryConnectionHandler::changeSpaceItemColumn(MainMemoryGraphicsItem* spac
qreal columnWidth = originalColumn->boundingRect().width();
qreal currentColumnPosition = originalColumn->scenePos().x() - columnWidth;

MemoryColumn* currentColumn = 0;
while (currentColumnPosition >= 0)
{
currentColumn = columnHandler_->findColumnAtPosition(QPointF(currentColumnPosition, 0));
MemoryColumn* currentColumn = columnHandler_->findColumnAtPosition(QPointF(currentColumnPosition, 0));
if (currentColumn &&
currentColumn->name().contains(MemoryDesignerConstants::ADDRESSSPACECOLUMN_NAME, Qt::CaseInsensitive))
{
Expand Down
18 changes: 9 additions & 9 deletions version.h
Original file line number Diff line number Diff line change
Expand Up @@ -10,20 +10,20 @@
#ifndef VERSIONNO__H
#define VERSIONNO__H

#define VERSION_FULL 3.5.1103.0
#define VERSION_FULL 3.6.0.0

#define VERSION_BASEYEAR 0
#define VERSION_DATE "2018-06-11"
#define VERSION_TIME "12:07:39"
#define VERSION_DATE "2018-06-12"
#define VERSION_TIME "8:43:24"

#define VERSION_MAJOR 3
#define VERSION_MINOR 5
#define VERSION_BUILDNO 1103
#define VERSION_MINOR 6
#define VERSION_BUILDNO 0
#define VERSION_EXTEND 0

#define VERSION_FILE 3,5,1103,0
#define VERSION_PRODUCT 3,5,1103,0
#define VERSION_FILESTR "3,5,1103,0"
#define VERSION_PRODUCTSTR "3,5,1103,0"
#define VERSION_FILE 3,6,0,0
#define VERSION_PRODUCT 3,6,0,0
#define VERSION_FILESTR "3,6,0,0"
#define VERSION_PRODUCTSTR "3,6,0,0"

#endif

0 comments on commit f8c2fb8

Please sign in to comment.