Skip to content

Commit

Permalink
Testing adder changes (should use clang-format)
Browse files Browse the repository at this point in the history
  • Loading branch information
marianabuhazi committed May 7, 2024
1 parent f1dc991 commit 4e1122f
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion accelerators/chisel/adder_chisel/sw/baremetal/adder.c
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
/* Copyright (c) 2011-2024 Columbia University, System Level Design Group */
/* SPDX-License-Identifier: Apache-2.0 */

/* FAKE COMMENT */
#include <stdio.h>
#ifndef __riscv
#include <stdlib.h>
Expand Down

0 comments on commit 4e1122f

Please sign in to comment.