Skip to content

pawlex/verilog_simulate

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

14 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

BASIC Verilog and System Verilog Simulation Environment

Using icarus verilog and gtkwave

Components

tb.v : test bench stimuli

top.v: top level module

compile: compiles and runs simulation and launches gtk-wave with the output .vcd

Requirements

Linux env

Icarus verilog compiler [apt-get install iverilog]

GTKWAVE [apt-get install gtkwave]

gtkwave

About

No description, website, or topics provided.

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published