Skip to content

Commit

Permalink
Merge pull request #17 from saurabhsingh99100/dev
Browse files Browse the repository at this point in the history
Dev
  • Loading branch information
saursin committed Jul 16, 2021
2 parents 2f933b9 + a06218e commit b493085
Show file tree
Hide file tree
Showing 2 changed files with 15 additions and 12 deletions.
16 changes: 10 additions & 6 deletions Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -64,20 +64,24 @@ INCLUDES = -I $(vobject_dir) -I /usr/share/verilator/include -I /usr/share/veril

cpp_driver = $(sim_dir)/AtomSim.cpp
sim_executable = atomsim
sim_cpp_backend = $(sim_dir)/Backend_AtomBones.hpp
Target = atombones

# Verilog Configs
VC = verilator
VFLAGS = -cc -Wall --relative-includes --trace

# Target Specific definitions
ifeq ($(Target), atombones)
verilog_topmodule = AtomBones
verilog_topmodule_file = $(rtl_dir)/AtomBones.v
verilog_files = rtl/AtomBones.v rtl/Timescale.vh rtl/Config.vh rtl/core/AtomRV.v rtl/core/Alu.v rtl/core/Decode.v rtl/core/RegisterFile.v
verilog_topmodule = AtomBones
verilog_topmodule_file = $(rtl_dir)/AtomBones.v
verilog_files = rtl/AtomBones.v rtl/Timescale.vh rtl/Config.vh rtl/core/AtomRV.v rtl/core/Alu.v rtl/core/Decode.v rtl/core/RegisterFile.v

sim_cpp_backend = $(sim_dir)/Backend_AtomBones.hpp
CFLAGS += -DTARGET_ATOMBONES
else

$(error Unknown Target : $(Target))

CFLAGS += -DTARGET_ATOMBONES

endif

#======================================================================
Expand Down
11 changes: 5 additions & 6 deletions README.md
Original file line number Diff line number Diff line change
Expand Up @@ -25,10 +25,9 @@ Key highlights of Atom are listed below:
1. [Atom Architecture](Atom-Architecture)
2. [Targets](Targets)
3. [Prerequisites](Prerequisites)
4. [Getting Started](Getting-Started)
5. [Simulation](Simulation)
6. [FPGA Implementation](FPGA-Implementation)
7. [License](License)
4. [Build Instructions](Build-Instructions)
5. [AtomSim](AtomSim)
6. [License](License)

## Atom Architecture

Expand Down Expand Up @@ -77,7 +76,7 @@ It is a basic SoC which contains atom core interfaced to instruction memory, dat
| 0x00014001 | UART_TX |
| 0x00014002 | UART_SREG |

### Prerequisites
## Prerequisites

Run apt update

Expand Down Expand Up @@ -175,7 +174,7 @@ Now run the generated elf file using atomsim
$ atomsim banner.elf
```

## Generating atomsim code documentation using doxygen
### Generating atomsim code documentation using doxygen

Run make from the parent directory

Expand Down

0 comments on commit b493085

Please sign in to comment.