Skip to content

Verilog implementations of different simple tasks

Notifications You must be signed in to change notification settings

sjkeller/smart-sensors-project

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

53 Commits
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

Repository files navigation

Smart Sensors Project

Installation GNU/Linux using apt

sudo apt install build-essential clang bison flex gperf libfl2 \
    libfl-dev libreadline-dev gawk tcl-dev libffi-dev \
    graphviz xdot pkg-config python python3 libftdi-dev \
    qt5-default python3-dev libboost-all-dev cmake libeigen3-dev
sudo apt install fpga-icestorm yosys

Installing NextPNR

Installation macOS using Homebrew

brew tap ktemkin/oss-fpga 
brew install --HEAD icestorm yosys nextpnr-ice40

Installation Windows

Good luck Micro$oft Winblows Installation

Generate and upload

make prog

clean project

make clean