Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Adding Manta module, associated pipeline & config changes #27

Merged
merged 23 commits into from
Jun 10, 2021
Merged
Show file tree
Hide file tree
Changes from 1 commit
Commits
Show all changes
23 commits
Select commit Hold shift + click to select a range
add06df
Adding Manta module, associated pipeline & config changes
timothyjsanders May 27, 2021
6a46abf
Capitalized output directory to BCFtools
timothyjsanders Jun 3, 2021
c776e86
Capitalized output directory to VCFtools
timothyjsanders Jun 3, 2021
9f45fa8
Capitalized output directory
timothyjsanders Jun 3, 2021
70e32d3
Capitalized output directory
timothyjsanders Jun 3, 2021
c6ebfe2
Capitalized output directory names
timothyjsanders Jun 3, 2021
e70ebd9
Added run_delly and run_manta to config
timothyjsanders Jun 3, 2021
5cee95f
Capitalized Delly tool name in output
timothyjsanders Jun 7, 2021
f71bfd4
Added Manta process to pipeline; added SV tool params
timothyjsanders Jun 7, 2021
775c3be
Added Manta to tools and description
timothyjsanders Jun 7, 2021
c08be20
Added version 3.0.0 changelog information
timothyjsanders Jun 7, 2021
545484d
Added run_delly and run_manta to nextflow.config
timothyjsanders Jun 7, 2021
3baafcf
Fixed divergent config, changelog and metadata files
timothyjsanders Jun 7, 2021
ad3ec61
Updated flowchart diagram with Manta flow
timothyjsanders Jun 7, 2021
f83beb2
Updating readme with Manta documentation
timothyjsanders Jun 7, 2021
a21332d
Updated table headers
timothyjsanders Jun 7, 2021
3cbce95
Updated validation docker to 2.1.5
timothyjsanders Jun 9, 2021
51c9fc9
Updated validation version to match methods.config (2.1.5)
timothyjsanders Jun 9, 2021
702328d
Added table with all Delly default parameters
timothyjsanders Jun 10, 2021
04216f7
Modified copy number variant parameters into table format
timothyjsanders Jun 10, 2021
652425e
Fixed default delly call map-qual value
timothyjsanders Jun 10, 2021
aeebbd5
Fixed typo in CNV params table
timothyjsanders Jun 10, 2021
da99902
Changed release 3.0.0 to unreleased
timothyjsanders Jun 10, 2021
File filter

Filter by extension

Filter by extension

Conversations
Failed to load comments.
Loading
Jump to
Jump to file
Failed to load files.
Loading
Diff view
Diff view
6 changes: 5 additions & 1 deletion pipeline/call-gSV.nf
Original file line number Diff line number Diff line change
Expand Up @@ -34,6 +34,7 @@ Current Configuration:

- tools:
delly: ${params.delly_version}
manta: ${params.manta_version}
bcftools: ${params.bcftools_version}
vcftools: ${params.vcftools_version}
rtgtools: ${params.rtgtools_version}
Expand All @@ -48,6 +49,7 @@ Starting workflow...

include { run_validate } from './modules/validation'
include { call_gSV_Delly; call_gCNV_Delly } from './modules/delly'
include { call_gSV_Manta } from './modules/manta'
include { convert_BCF2VCF_BCFtools as convert_gSV_BCF2VCF_BCFtools; convert_BCF2VCF_BCFtools as convert_gCNV_BCF2VCF_BCFtools } from './modules/bcftools'
include { run_vcfstats_RTGTools } from './modules/rtgtools'
include { run_vcf_validator_VCFtools } from './modules/vcftools'
Expand Down Expand Up @@ -94,6 +96,7 @@ validation_channel = Channel

workflow {
run_validate(validation_channel)
call_gSV_Manta(input_bam_ch, params.reference_fasta, reference_fasta_index)
timothyjsanders marked this conversation as resolved.
Show resolved Hide resolved
call_gSV_Delly(input_bam_ch, params.reference_fasta, reference_fasta_index, params.exclusion_file)
call_gCNV_Delly(input_bam_ch, call_gSV_Delly.out.bcf_sv_file, params.reference_fasta, reference_fasta_index, params.mappability_map)
convert_gSV_BCF2VCF_BCFtools(call_gSV_Delly.out.bcf_sv_file, call_gSV_Delly.out.bam_sample_name, 'SV')
Expand All @@ -102,5 +105,6 @@ workflow {
run_vcfstats_RTGTools(convert_gSV_BCF2VCF_BCFtools.out.vcf_file, call_gSV_Delly.out.bam_sample_name)
run_vcf_validator_VCFtools(convert_gSV_BCF2VCF_BCFtools.out.vcf_file, call_gSV_Delly.out.bam_sample_name)
}
run_sha512sum(call_gSV_Delly.out.bcf_sv_file.mix(convert_gSV_BCF2VCF_BCFtools.out.vcf_file,call_gCNV_Delly.out.bcf_cnv_file,convert_gCNV_BCF2VCF_BCFtools.out.vcf_file))
run_sha512sum(call_gSV_Delly.out.bcf_sv_file.mix(convert_gSV_BCF2VCF_BCFtools.out.vcf_file, call_gCNV_Delly.out.bcf_cnv_file, convert_gCNV_BCF2VCF_BCFtools.out.vcf_file,
call_gSV_Manta.out.vcf_small_indel_sv_file, call_gSV_Manta.out.vcf_diploid_sv_file, call_gSV_Manta.out.vcf_candidate_sv_file))
timothyjsanders marked this conversation as resolved.
Show resolved Hide resolved
}
8 changes: 6 additions & 2 deletions pipeline/config/execute.config
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,11 @@ process {
memory = 1.GB
}
withName: call_gSV_Delly {
cpus = 63
memory = 940.GB
cpus = 31
memory = 470.GB
}
withName: call_gSV_Manta {
cpus = 31
memory = 470.GB
}
}
6 changes: 5 additions & 1 deletion pipeline/config/lowmem.config
Original file line number Diff line number Diff line change
Expand Up @@ -5,6 +5,10 @@ process {
}
withName: call_gSV_Delly {
cpus = 1
memory = 3.GB
memory = 2.GB
}
withName: call_gSV_Manta {
cpus = 1
memory = 2.GB
}
}
1 change: 1 addition & 0 deletions pipeline/config/methods.config
Original file line number Diff line number Diff line change
Expand Up @@ -95,6 +95,7 @@ methods.setup()
params {
// Pipeline tool versions
delly_version = '0.8.7'
manta_version = '1.6.0'
bcftools_version = '1.12'
vcftools_version = '0.1.16'
rtgtools_version = '3.12'
Expand Down
8 changes: 6 additions & 2 deletions pipeline/config/midmem.config
Original file line number Diff line number Diff line change
Expand Up @@ -4,7 +4,11 @@ process {
memory = 1.GB
}
withName: call_gSV_Delly {
cpus = 71
memory = 130.GB
cpus = 35
memory = 65.GB
}
withName: call_gSV_Manta {
cpus = 35
memory = 65.GB
}
}
54 changes: 54 additions & 0 deletions pipeline/modules/manta.nf
Original file line number Diff line number Diff line change
@@ -0,0 +1,54 @@
#!/usr/bin/env nextflow

def docker_image_manta = "blcdsdockerregistry/manta:${params.manta_version}"

log.info """\
------------------------------------
M A N T A
------------------------------------
Docker Images:
- docker_image_manta: ${docker_image_manta}
"""

process call_gSV_Manta {
container docker_image_manta

publishDir params.output_dir,
timothyjsanders marked this conversation as resolved.
Show resolved Hide resolved
pattern: "MantaWorkflow/results",
mode: "copy",
saveAs: { "manta-${params.manta_version}/${file(it).getName()}" }
timothyjsanders marked this conversation as resolved.
Show resolved Hide resolved

publishDir params.output_log_dir,
pattern: ".command.*",
mode: "copy",
saveAs: { "call_gSV_Manta/${bam_sample_name}.log${file(it).getName()}" }

input:
tuple val(patient), val(bam_sample_name), path(input_bam), path(input_bam_bai)
path(reference_fasta)
path(reference_fasta_fai)


output:
path("MantaWorkflow/results/variants/candidateSmallIndels.vcf.gz"), emit: vcf_small_indel_sv_file
path("MantaWorkflow/results/variants/candidateSmallIndels.vcf.gz.tbi")
path("MantaWorkflow/results/variants/diploidSV.vcf.gz"), emit: vcf_diploid_sv_file
path("MantaWorkflow/results/variants/diploidSV.vcf.gz.tbi")
path("MantaWorkflow/results/variants/candidateSV.vcf.gz"), emit: vcf_candidate_sv_file
path("MantaWorkflow/results/variants/candidateSV.vcf.gz.tbi")
//path "MANTA-${params.manta_version}_SV_${params.dataset_id}_${bam_sample_name}.vcf.gz", emit: vcf_sv_file
//path "MANTA-${params.manta_version}_SV_${params.dataset_id}_${bam_sample_name}.vcf.gz.tbi"
path "MantaWorkflow/results"
path ".command.*"
val bam_sample_name, emit: bam_sample_name

"""
set -euo pipefail
configManta.py \
--normalBam $input_bam \
--referenceFasta $reference_fasta \
--runDir MantaWorkflow

MantaWorkflow/runWorkflow.py
"""
}
5 changes: 5 additions & 0 deletions pipeline/modules/sha512.nf
Original file line number Diff line number Diff line change
Expand Up @@ -23,6 +23,11 @@ process run_sha512sum {
mode: "copy",
saveAs: { "delly-${params.delly_version}/${file(it).getName()}" }

publishDir params.output_dir,
pattern: "*.vcf.gz*.sha512",
mode: "copy",
saveAs: { "manta-${params.manta_version}/results/variants/${file(it).getName()}" }
timothyjsanders marked this conversation as resolved.
Show resolved Hide resolved

publishDir params.output_log_dir,
pattern: ".command.*",
mode: "copy",
Expand Down