Skip to content

Commit

Permalink
Update logs
Browse files Browse the repository at this point in the history
  • Loading branch information
hs-apotell committed Apr 21, 2023
1 parent 2cfd21a commit 6a07ddc
Show file tree
Hide file tree
Showing 84 changed files with 2,309 additions and 660 deletions.
101 changes: 86 additions & 15 deletions tests/ArianeElab/ArianeElab.log
Original file line number Diff line number Diff line change
Expand Up @@ -93485,6 +93485,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (RASDepth), line:734:20, endln:734:28
|vpiParent:
\_hier_path: (Cfg.RASDepth), line:734:16, endln:734:28
|vpiName:RASDepth
|vpiOperand:
\_constant: , line:734:31, endln:734:32
Expand Down Expand Up @@ -93522,6 +93524,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (BTBEntries), line:735:30, endln:735:40
|vpiParent:
\_hier_path: (Cfg.BTBEntries), line:735:26, endln:735:40
|vpiName:BTBEntries
|vpiName:$clog2
|vpiOperand:
Expand All @@ -93538,6 +93542,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (BTBEntries), line:735:50, endln:735:60
|vpiParent:
\_hier_path: (Cfg.BTBEntries), line:735:46, endln:735:60
|vpiName:BTBEntries
|vpiStmt:
\_immediate_assert: , line:736:9, endln:736:62
Expand Down Expand Up @@ -93573,6 +93579,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (BHTEntries), line:736:30, endln:736:40
|vpiParent:
\_hier_path: (Cfg.BHTEntries), line:736:26, endln:736:40
|vpiName:BHTEntries
|vpiName:$clog2
|vpiOperand:
Expand All @@ -93589,6 +93597,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (BHTEntries), line:736:50, endln:736:60
|vpiParent:
\_hier_path: (Cfg.BHTEntries), line:736:46, endln:736:60
|vpiName:BHTEntries
|vpiStmt:
\_immediate_assert: , line:737:9, endln:737:56
Expand All @@ -93613,6 +93623,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (NrNonIdempotentRules), line:737:20, endln:737:40
|vpiParent:
\_hier_path: (Cfg.NrNonIdempotentRules), line:737:16, endln:737:40
|vpiName:NrNonIdempotentRules
|vpiOperand:
\_ref_obj: (ariane_pkg::check_cfg::NrMaxRules), line:737:44, endln:737:54
Expand Down Expand Up @@ -93645,6 +93657,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (NrExecuteRegionRules), line:738:20, endln:738:40
|vpiParent:
\_hier_path: (Cfg.NrExecuteRegionRules), line:738:16, endln:738:40
|vpiName:NrExecuteRegionRules
|vpiOperand:
\_ref_obj: (ariane_pkg::check_cfg::NrMaxRules), line:738:44, endln:738:54
Expand Down Expand Up @@ -93677,6 +93691,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (NrCachedRegionRules), line:739:20, endln:739:39
|vpiParent:
\_hier_path: (Cfg.NrCachedRegionRules), line:739:16, endln:739:39
|vpiName:NrCachedRegionRules
|vpiOperand:
\_ref_obj: (ariane_pkg::check_cfg::NrMaxRules), line:739:44, endln:739:54
Expand Down Expand Up @@ -93709,6 +93725,8 @@ design: (work@top)
\_io_decl: (Cfg), line:731:53, endln:731:56
|vpiActual:
\_ref_obj: (NrPMPEntries), line:740:20, endln:740:32
|vpiParent:
\_hier_path: (Cfg.NrPMPEntries), line:740:16, endln:740:32
|vpiName:NrPMPEntries
|vpiOperand:
\_constant: , line:740:36, endln:740:38
Expand Down Expand Up @@ -93924,6 +93942,8 @@ design: (work@top)
\_io_decl: (Cfg), line:750:76, endln:750:79
|vpiActual:
\_ref_obj: (NrNonIdempotentRules), line:753:40, endln:753:60
|vpiParent:
\_hier_path: (Cfg.NrNonIdempotentRules), line:753:36, endln:753:60
|vpiName:NrNonIdempotentRules
|vpiStmt:
\_begin: (ariane_pkg::is_inside_nonidempotent_regions), line:753:67, endln:755:10
Expand Down Expand Up @@ -94160,6 +94180,8 @@ design: (work@top)
\_io_decl: (Cfg), line:759:70, endln:759:73
|vpiActual:
\_ref_obj: (NrExecuteRegionRules), line:763:40, endln:763:60
|vpiParent:
\_hier_path: (Cfg.NrExecuteRegionRules), line:763:36, endln:763:60
|vpiName:NrExecuteRegionRules
|vpiStmt:
\_begin: (ariane_pkg::is_inside_execute_regions), line:763:67, endln:765:10
Expand Down Expand Up @@ -94396,6 +94418,8 @@ design: (work@top)
\_io_decl: (Cfg), line:769:72, endln:769:75
|vpiActual:
\_ref_obj: (NrCachedRegionRules), line:772:40, endln:772:59
|vpiParent:
\_hier_path: (Cfg.NrCachedRegionRules), line:772:36, endln:772:59
|vpiName:NrCachedRegionRules
|vpiStmt:
\_begin: (ariane_pkg::is_inside_cacheable_regions), line:772:66, endln:774:10
Expand Down Expand Up @@ -95843,8 +95867,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1401:50, endln:1401:71
|vpiParent:
\_ref_obj: data (data), line:1401:50, endln:1401:54
\_ref_obj: data (ariane_pkg::data_align::data), line:1401:50, endln:1401:54
|vpiParent:
\_case_item: , line:1401:13, endln:1401:73
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -95899,8 +95926,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1402:50, endln:1402:71
|vpiParent:
\_ref_obj: data (data), line:1402:50, endln:1402:54
\_ref_obj: data (ariane_pkg::data_align::data), line:1402:50, endln:1402:54
|vpiParent:
\_case_item: , line:1402:13, endln:1402:109
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -95917,8 +95947,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1402:74, endln:1402:107
|vpiParent:
\_ref_obj: data (data), line:1402:74, endln:1402:78
\_ref_obj: data (ariane_pkg::data_align::data), line:1402:74, endln:1402:78
|vpiParent:
\_case_item: , line:1402:13, endln:1402:109
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -95980,8 +96013,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1403:50, endln:1403:72
|vpiParent:
\_ref_obj: data (data), line:1403:50, endln:1403:54
\_ref_obj: data (ariane_pkg::data_align::data), line:1403:50, endln:1403:54
|vpiParent:
\_case_item: , line:1403:13, endln:1403:110
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -95998,8 +96034,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1403:74, endln:1403:108
|vpiParent:
\_ref_obj: data (data), line:1403:74, endln:1403:78
\_ref_obj: data (ariane_pkg::data_align::data), line:1403:74, endln:1403:78
|vpiParent:
\_case_item: , line:1403:13, endln:1403:110
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -96061,8 +96100,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1404:50, endln:1404:72
|vpiParent:
\_ref_obj: data (data), line:1404:50, endln:1404:54
\_ref_obj: data (ariane_pkg::data_align::data), line:1404:50, endln:1404:54
|vpiParent:
\_case_item: , line:1404:13, endln:1404:110
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -96079,8 +96121,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1404:74, endln:1404:108
|vpiParent:
\_ref_obj: data (data), line:1404:74, endln:1404:78
\_ref_obj: data (ariane_pkg::data_align::data), line:1404:74, endln:1404:78
|vpiParent:
\_case_item: , line:1404:13, endln:1404:110
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -96142,8 +96187,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1405:33, endln:1405:43
|vpiParent:
\_ref_obj: data (data), line:1405:33, endln:1405:37
\_ref_obj: data (ariane_pkg::data_align::data), line:1405:33, endln:1405:37
|vpiParent:
\_case_item: , line:1405:13, endln:1405:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -96153,8 +96201,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1405:45, endln:1405:56
|vpiParent:
\_ref_obj: data (data), line:1405:45, endln:1405:49
\_ref_obj: data (ariane_pkg::data_align::data), line:1405:45, endln:1405:49
|vpiParent:
\_case_item: , line:1405:13, endln:1405:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -96189,8 +96240,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1406:33, endln:1406:43
|vpiParent:
\_ref_obj: data (data), line:1406:33, endln:1406:37
\_ref_obj: data (ariane_pkg::data_align::data), line:1406:33, endln:1406:37
|vpiParent:
\_case_item: , line:1406:13, endln:1406:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -96200,8 +96254,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1406:45, endln:1406:56
|vpiParent:
\_ref_obj: data (data), line:1406:45, endln:1406:49
\_ref_obj: data (ariane_pkg::data_align::data), line:1406:45, endln:1406:49
|vpiParent:
\_case_item: , line:1406:13, endln:1406:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -96236,8 +96293,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1407:33, endln:1407:43
|vpiParent:
\_ref_obj: data (data), line:1407:33, endln:1407:37
\_ref_obj: data (ariane_pkg::data_align::data), line:1407:33, endln:1407:37
|vpiParent:
\_case_item: , line:1407:13, endln:1407:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -96247,8 +96307,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1407:45, endln:1407:56
|vpiParent:
\_ref_obj: data (data), line:1407:45, endln:1407:49
\_ref_obj: data (ariane_pkg::data_align::data), line:1407:45, endln:1407:49
|vpiParent:
\_case_item: , line:1407:13, endln:1407:58
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -96283,8 +96346,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1408:33, endln:1408:42
|vpiParent:
\_ref_obj: data (data), line:1408:33, endln:1408:37
\_ref_obj: data (ariane_pkg::data_align::data), line:1408:33, endln:1408:37
|vpiParent:
\_case_item: , line:1408:13, endln:1408:57
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand All @@ -96294,8 +96360,11 @@ design: (work@top)
|vpiOperand:
\_part_select: , line:1408:45, endln:1408:55
|vpiParent:
\_ref_obj: data (data), line:1408:45, endln:1408:49
\_ref_obj: data (ariane_pkg::data_align::data), line:1408:45, endln:1408:49
|vpiParent:
\_case_item: , line:1408:13, endln:1408:57
|vpiName:data
|vpiFullName:ariane_pkg::data_align::data
|vpiDefName:data
|vpiConstantSelect:1
|vpiLeftRange:
Expand Down Expand Up @@ -102690,6 +102759,8 @@ design: (work@top)
|vpiName:Features
|vpiActual:
\_ref_obj: (Width)
|vpiParent:
\_hier_path: (Features.Width), line:1964:42, endln:1964:56
|vpiName:Width
|vpiLhs:
\_parameter: (work@fpnew_top.WIDTH), line:1964:27, endln:1964:32
Expand Down
Loading

0 comments on commit 6a07ddc

Please sign in to comment.