Skip to content

Commit

Permalink
Suggested Fix for efabless/caravel#55
Browse files Browse the repository at this point in the history
Remove the compiler directives related to default port type
  • Loading branch information
heavySea committed May 5, 2021
1 parent 56ec9e6 commit fdb7d86
Show file tree
Hide file tree
Showing 73 changed files with 0 additions and 122 deletions.
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/caravan/caravan_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -211,4 +210,3 @@ module caravan_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/gpio/gpio_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -193,4 +192,3 @@ module gpio_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/hkspi/hkspi_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
StriVe housekeeping SPI testbench.
*/
Expand Down Expand Up @@ -428,4 +427,3 @@ module hkspi_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/mem/mem_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -200,4 +199,3 @@ module mem_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/mprj_ctrl/mprj_ctrl_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none

`timescale 1 ns / 1 ps

Expand Down Expand Up @@ -173,4 +172,3 @@ module mprj_ctrl_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/pass_thru/pass_thru_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
* StriVe housekeeping pass-thru mode SPI testbench.
*/
Expand Down Expand Up @@ -347,4 +346,3 @@ module pass_thru_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/perf/perf_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -156,4 +155,3 @@ module perf_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/pll/pll_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none

`timescale 1 ns / 1 ps

Expand Down Expand Up @@ -155,4 +154,3 @@ module pll_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/qspi/qspi_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -202,4 +201,3 @@ module qspi_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/storage/storage_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -187,4 +186,3 @@ module storage_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/sysctrl/sysctrl_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none

`timescale 1 ns / 1 ps

Expand Down Expand Up @@ -217,4 +216,3 @@ module sysctrl_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/timer/timer_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -198,4 +197,3 @@ module timer_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/timer2/timer2_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -226,4 +225,3 @@ module timer2_tb;
);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/dv/caravel/mgmt_soc/uart/uart_tb.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf, 2018 Tim Edwards
*
Expand Down Expand Up @@ -147,4 +146,3 @@ module uart_tb;
);

endmodule
`default_nettype wire
1 change: 0 additions & 1 deletion verilog/dv/caravel/spiflash.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf
*
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/caravel/tbuart.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype none
/*
* SPDX-FileCopyrightText: 2017 Clifford Wolf
*
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/dummy_slave.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
module dummy_slave(
input wb_clk_i,
input wb_rst_i,
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/chip_io/chip_io_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none

`timescale 1 ns / 1 ps

Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/gpio_wb/gpio_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/intercon_wb/intercon_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/la_wb/la_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
`timescale 1 ns / 1 ps

`include "la_wb.v"
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/mem_wb/mem_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/mgmt_protect/mgmt_protect_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/mprj_ctrl/mprj_ctrl_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/spi_sysctrl_wb/spi_sysctrl_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/spimemio_wb/spimemio_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/storage_wb/storage_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
// `define DBG

`define STORAGE_BASE_ADR 32'h0100_0000
Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/sysctrl_wb/sysctrl_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none

`timescale 1 ns / 1 ps

Expand Down
1 change: 0 additions & 1 deletion verilog/dv/wb_utests/uart_wb/uart_wb_tb.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none


`timescale 1 ns / 1 ps
Expand Down
1 change: 0 additions & 1 deletion verilog/gl/__user_project_wrapper.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
*-------------------------------------------------------------
*
Expand Down
1 change: 0 additions & 1 deletion verilog/gl/gpio_control_block.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
`default_nettype wire
module gpio_control_block (mgmt_gpio_in,
mgmt_gpio_oeb,
mgmt_gpio_out,
Expand Down
1 change: 0 additions & 1 deletion verilog/gl/storage.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */

module storage(mgmt_clk, mgmt_ena_ro, VPWR, VGND, mgmt_addr, mgmt_addr_ro, mgmt_ena, mgmt_rdata, mgmt_rdata_ro, mgmt_wdata, mgmt_wen, mgmt_wen_mask);
Expand Down
1 change: 0 additions & 1 deletion verilog/rtl/DFFRAM.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
`ifndef USE_CUSTOM_DFFRAM

module DFFRAM(
Expand Down
1 change: 0 additions & 1 deletion verilog/rtl/DFFRAMBB.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
Building blocks for DFF based RAM compiler for SKY130A
BYTE : 8 memory cells used as a building block for WORD module
Expand Down
1 change: 0 additions & 1 deletion verilog/rtl/__user_analog_project_wrapper.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
*-------------------------------------------------------------
*
Expand Down
1 change: 0 additions & 1 deletion verilog/rtl/__user_project_wrapper.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
/*
*-------------------------------------------------------------
*
Expand Down
2 changes: 0 additions & 2 deletions verilog/rtl/caravan.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
// `default_nettype none
// SPDX-FileCopyrightText: 2020 Efabless Corporation
//
// Licensed under the Apache License, Version 2.0 (the "License");
Expand Down Expand Up @@ -864,4 +863,3 @@ module caravan (
);

endmodule
// `default_nettype wire
2 changes: 0 additions & 2 deletions verilog/rtl/caravel.v
Original file line number Diff line number Diff line change
@@ -1,4 +1,3 @@
// `default_nettype none
// SPDX-FileCopyrightText: 2020 Efabless Corporation
//
// Licensed under the Apache License, Version 2.0 (the "License");
Expand Down Expand Up @@ -801,4 +800,3 @@ module caravel (
);

endmodule
// `default_nettype wire
2 changes: 0 additions & 2 deletions verilog/rtl/caravel_clocking.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

`default_nettype none
// This routine synchronizes the

module caravel_clocking(
Expand Down Expand Up @@ -108,4 +107,3 @@ module caravel_clocking(
assign resetb_sync = ~(reset_delay[0] | ext_reset);

endmodule
`default_nettype wire
2 changes: 0 additions & 2 deletions verilog/rtl/chip_io.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

// `default_nettype none
module chip_io(
// Package Pins
inout vddio, // Common padframe/ESD supply
Expand Down Expand Up @@ -362,4 +361,3 @@ module chip_io(
);

endmodule
// `default_nettype wire
2 changes: 0 additions & 2 deletions verilog/rtl/chip_io_alt.v
Original file line number Diff line number Diff line change
Expand Up @@ -13,7 +13,6 @@
// limitations under the License.
// SPDX-License-Identifier: Apache-2.0

// `default_nettype none

/* Alternative padframe that removes the GPIO from the top row, */
/* replacing them with un-overlaid power pads which have a */
Expand Down Expand Up @@ -446,4 +445,3 @@ module chip_io_alt #(
);

endmodule
// `default_nettype wire
2 changes: 0 additions & 2 deletions verilog/rtl/clock_div.v
Original file line number Diff line number Diff line change
Expand Up @@ -14,7 +14,6 @@
// SPDX-License-Identifier: Apache-2.0

/* Integer-N clock divider */
`default_nettype none

module clock_div #(
parameter SIZE = 3 // Number of bits for the divider value
Expand Down Expand Up @@ -210,4 +209,3 @@ module even #(
end

endmodule //even
`default_nettype wire
Loading

0 comments on commit fdb7d86

Please sign in to comment.