Skip to content
#

hdl

Here are 600 public repositories matching this topic...

HDL_Converter

A simple tool that can be used to convert the header syntax of a verilog module or VHDL entity to an instantiation syntax and create testbench structures (top level and verify). The project is aimed at removing the need for tedious refactoring of module headers when instantiating modules or verifying individual modules with testbenches.

  • Updated Jun 30, 2024
  • C#

Improve this page

Add a description, image, and links to the hdl topic page so that developers can more easily learn about it.

Curate this topic

Add this topic to your repo

To associate your repository with the hdl topic, visit your repo's landing page and select "manage topics."

Learn more