Skip to content
sy2002 edited this page Oct 20, 2023 · 22 revisions

Welcome to the MiSTer2MEGA65 Wiki. You will find everything to get started "from zero to hero" here. We encourage you to walk through the multiple tutorials in the "Getting Started" section first, before you actually start to port your first core.

Please note that the MiSTer2MEGA65 framework will often be abbreviated to M2M throughout this Wiki.

Getting started with MiSTer2MEGA65

1. What is MiSTer2MEGA65

2. First Steps

3. "Hello World" Tutorial

Step-by-step guide to port a MiSTer core

4. Understand the MiSTer core

5. Project set-up

6. Basic wiring

7. Get the core to synthesize

@TODO next steps

XYZ. How to release your core to the MEGA65 community

Reference Guide

Architecture

Audio filters

Caution and loose ends

clk.vhd

Clock Domain Crossing (CDC)

config.vhd

Debugging

Devices

Fatal Errors

File- and Directory Browser

globals.vhd

Help System

keyboard.vhd

m2m-rom.asm

main.vhd

mega65.vhd

On-Screen-Menu

QNICE

RAMs and ROMs

Shell memory layout

Video filters

Video pipeline and output

Virtual Drives